VIVADO时序约束之时序例外(set_false_path)

前言

当FPGA设计中的逻辑行为不能满足默认的时序要求时,设计者需要使用时序例外语法对该逻辑行为进行处理,例如:有些结果只需每个一个或多个时钟周期捕获一次。
vivado开发工具支持4个时序例外约束的语法,如下表所示:

命令功能
set_multicycle_path表示从路径的开始到结束传播数据所需的时钟周期数。
set_false_path表示不应分析设计中的逻辑路径。
set_max_delay设置最大路径延迟值。 这将使用用户指定的最大和最小延迟值覆盖默认的建立和保持约束。
set_min_delay设置最小路径延迟值。 这将使用用户指定的最大和最小延迟值覆盖默认的建立和保持约束。

基于运行时间的考虑,vivado工具不支持冲突时序例外的实时分析,可以使用命令report_exceptions对整个工程进行时序例外进行分析和报告。

false路径

false路径指设计中存在的,但是1、不起作用,2、不需要关心时序的路径。因此,false路径常常不做时序分析。常见的false路径包括:
1、跨时钟域信号经两级同步逻辑处理的;
2、上电后,只需要被写一次;
3、复位或者测试逻辑;
4、异步分布式RAM的写入时钟和异步读取时钟之间的路径;

移除false路径的约束的好处

1、减少编译时间:当false路径已从时序分析中移除时,该工具不需要计时或优化那些非功能性路径。 使时序和优化引擎满足的非功能性路径的时序要求,会浪费大量的运行时间;
2、可以提高编译结果的质量:一些时序问题,会极大的影响工具对工程的综合、布局和优化质量;例如,某些非功能性路径存在时序违规,该工具可能会尝试修复这些路径,而不是处理真正的功能性路径。 不仅可能会不必要地增加设计的大小(例如逻辑克隆),而且该工具可能会跳过修复实际问题,因为非功能性路径具有更大的违规,掩盖了其他实际违规。

set_false_path

set_false_path 	[-setup] [-hold] [-rise] [-fall] [-reset_path] 
				[-from <args>] [-rise_from <args>] [-fall_from <args>]
				[-to <args>] [-rise_to <args>] [-fall_to <args>]
				[-through <args>] [-rise_through <args>] [-fall_through <args>]
				[-quiet] [-verbose] 
选项含义
-setup排除路径上的setup分析;
-hold排除路径上的hold分析;
-rise/fall排除路径上的上升沿/下降沿延迟;
-reset_path复位之前该路径上的约束;
-from <args>起始点或时钟列表;
-rise_from <args>作用于路径上上升沿开始的起始点或时钟列表;
–fall_from <args>作用于路径上下降沿开始的起始点或时钟列表;
-to <args>终端点或时钟列表;
-rise_to <args>作用于路径上上升沿接收的终端点或时钟列表;
-fall_to <args>作用于路径上下降沿接收的终端点或时钟列表;
-through <args>pins、cell、nets列表,所有经过该列表的路径均受约束作用;
-rise_through <args>pins、cell、nets列表,所有经过该列表的上升沿路径均受约束作用;
-fall_through <args>pins、cell、nets列表,所有经过该列表的下降沿路径均受约束作用;
-quiet忽略命令错误

使用不带 -from 和 -to 的 -through 选项时要小心,因为它会从时序分析中删除通过此引脚或端口列表的任何路径。 当时序约束是为 IP 或子块设计的,但随后用于不同的环境或更大的项目时,要特别小心。 当单独使用 -through 时,可以删除比预期更多的路径。

实例说明:

1、删除从端口reset到所有寄存器的所有路径的时序分析:

set_false_path -from [get_port reset] -to [all_registers]

2、禁止工具对两个异步时钟域CLKA和CLKB之间,从CLKA到CLKB的路径的时序分析:

set_false_path -from [get_clocks CLKA] -to [get_clocks CLKB]

3、非功能路径的约束可以使用-through替代-from -to的选项完成约束:
在这里插入图片描述

set_false_path -through [get_pins MUX1/a0] -through [get_pins MUX2/a1]

注意:
-through选项的顺序很重要,下面例子的命令是完全不相同的

set_false_path -through cell1/pin1 -through cell2/pin2
set_false_path -through cell2/pin2 -through cell1/pin1

4、公共的例子:异步双端口分布式RAM。写操作与RAM时钟域是同步的,但是读操作与RAM时钟域是异步的,这种情况下,写时钟域和读时钟域设置false路径是安全的。两种方式实现以上约束:
a、定义RAM前端的写寄存器到RAM后端的读时钟域下寄存器之间的路径为false路径:

set_false_path -from [get_cells <write_registers>] -to [get_cells <read_registers>]
set_false_path -from [get_cells -hier -filter {NAME =~ *gntv_or_sync_fifo.gl0.wr*reg[*]}] -to [get_cells -hier -filter {NAME=~ *gntv_or_sync_fifo.mem*gpr1.dout_i_reg[*]}]

b、定义以写引脚WE为起始点的所有路径为false路径:

set_false_path -from [get_cells -hier -filter {REF_NAME =~ RAM* && IS_SEQUENTIAL && NAME =~ <PATTERN_FOR_DISTRIBUTED_RAMS>}]
set_false_path -from [get_cells -hier -filter {REF_NAME =~ RAM* && IS_SEQUENTIAL && NAME =~ *char_fifo*}]

特别说明:

对于有些同步时钟下的路径,可以适当放松约束,但这些路径仍然必须满足一定的时序要求,可以使用多路径约束替代set_false_path约束。

  • 15
    点赞
  • 156
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
可更改二维码颜色 使用方法: /** * * @param string $value 二维码内容 * @param string $name 文件名称 * @param string $Color 颜色16进制 */ function PiaoBo_QRcode($value, $name, $Color = '#1bc446') { // $logo = $this->path . DIRECTORY_SEPARATOR . 'logo.png'; //第一个参数$text,就是上面代码里的URL网址参数, //第二个参数$outfile默认为否,不生成文件,只将二维码图片返回,否则需要给出存放生成二维码图片的路径 //第三个参数默认为L,这个参数可传递的值分别是L(QR_ECLEVEL_L,7%),M(QR_ECLEVEL_M,15%),Q(QR_ECLEVEL_Q,25%),H(QR_ECLEVEL_H,30%)。这个参数控制二维码容错率,不同的参数表示二维码可被覆盖的区域百分比。 //第四个参数,控制生成图片的大小,默认为4 //第五个参数,控制生成二维码的空白区域大小 //第六个参数$saveandprint,保存二维码图片并显示出来,$outfile必须传递图片路径。 //第七个参数$Color 前景色 require_once 'Class_QRCode.php'; $NewColor = hex2rgb($Color);//转换为RGB $errorCorrectionLevel = 'L'; //容错级别 $matrixPointSize = 6; //生成图片大小 $QRUrl = $this->path . DIRECTORY_SEPARATOR . $name . '.png'; //生成二维码图片 QRcode::png($value, $QRUrl, $errorCorrectionLevel, $matrixPointSize, 2, false, $NewColor); if (isset($logo) && empty($logo)) { $QR = imagecreatefromstring(file_get_contents($QRUrl)); $logo = imagecreatefromstring(file_get_contents($logo)); $QR_width = imagesx($QR); //二维码图片宽度 $QR_height = imagesy($QR); //二维码图片高度 $logo_width = imagesx($logo); //logo图片宽度 $logo_height = imagesy($logo); //logo图片高度 $logo_qr_width = $QR_width / 5; $scale = $logo_width / $logo_qr_width; $logo_qr_height = $logo_height / $scale; $from_width = ($QR_width - $logo_qr_width) / 2; //重新组合图片并调整大小 imagecopyresampled($QR, $logo, $from_width, $from_width, 0, 0, $logo_qr_width, $logo_qr_height, $logo_width, $logo_height); //输出图片 imagepng($QR, $QRUrl); } return $name . '.png'; }

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值