VCS生成仿真文件(2)

本文档介绍如何在Makefile中配置,以便使用VCS仿真工具生成FSDB格式的波形文件,以便于在Verdi工具中进行波形查看和分析。
摘要由CSDN通过智能技术生成

//输出fsdb格式的波形文件,使verdi能够查看

initial begin
   `ifdef DUMP_FSDB
     $fsdbDumpfile("tb_fulladd.fsdb");
     $fsdbDumpvars(0,fadd);
     $fsdbDumpon();
   `endif
end

//输出vpd格式的波形文件,使dev能够查看
initial b
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

LEEE@FPGA

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值