异步复位,同步释放

要求:实现一个异步复位,同步释放电路。复位信号低有效。

零、分析
改电路的优点是复位信号不受时钟限制,并且又有效减少了亚稳态发生的概率(如果复位信号在时钟上升沿撤销,则有可能产生亚稳态)。核心思想:寄存器打一拍防止亚稳态。

一、Verilog代码

module Sys_rst (
    input clk,
    input rst_n,
    output reg rst0,
    output reg rst1,
    output sys_rst
);
 
    always @(posedge clk or negedge rst_n) begin
        if(!rst_n) begin
            rst0 <= 1'b0;
            rst1 <= 1'b0;
        end
        else begin
           rst0 <= 1'b1;
           rst1 <= rst0; 
        end

    end

    assign sys_rst = rst1;

endmodule

二、test bench

`timescale 1ns/1ps
module Sys_rst_tb; 

parameter clock_cycle = 20;
reg clk;
reg rst_n;
wire rst1;
wire rst0;
wire sys_rst;

initial begin
    clk = 0;
    rst_n = 1;

    #clock_cycle rst_n = 0;
    #(clock_cycle/2);
    #clock_cycle rst_n = 1;
end

always #(clock_cycle/2) clk = ~clk;

Sys_rst Sys_rst_1(
    .clk    (clk),
    .rst_n  (rst_n),
    .rst0   (rst0),
    .rst1   (rst1),
    .sys_rst   (sys_rst)
);

endmodule


三、仿真波形
异步复位同步释放时序仿真

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值