vivado综合分析与收敛技巧1

使用细化视图对 RTL 进行最优化
完成任意实现步骤后使用 report_timing report_timing_summary report_design_analysis 分析时序结果时, 您必须审查关键路径结构 了解是否可通过修改 RTL 、使用综合属性或者使用其他综合选项来更有效地将其映射到逻辑原语。这对于包含大量逻辑层次的路径尤为重要, 因为大量逻辑层次会给实现工具施加压力并限制总体设计性能。
只要发现具有大量逻辑层次的路径 就必须确认路径功能是否需要如此大量的逻辑层次。通常确认逻辑层次的最佳数量并不容易, 因为它取决于您对设计的了解以及您对总体 RTL 最优化的了解程度。观察综合后经过最优化的网表并识别RTL 中的问题来源及其改进方法是一项复杂的任务。
在工程模式下 Vivado IDE 可在经过综合或实现的设计与经过细化的设计之间提供强大的交叉探测机制 从而帮助简化分析。请执行以下操作以对综合后/ 实现后的设计和细化设计进行交叉探测
1. 打开存储器中综合后 / 实现后的设计和细化的设计。
2. 选择综合后 / 实现后设计视图中的时序路径 按“ F4 ”键显示其板级原理图。
3. 选择 Flow Navigator 窗格中的“ Elaborated Design 细化设计 。这样会同时选中对应于时序路径的 RTL 单元, 以便您可按“ F4 ”键打开 RTL 板级原理图 并在细化视图中查看该相同路径 或者从端点管脚反向走线回到起点单元。
4. 复查路径遍历的 RTL 逻辑 特别注意运算符或矢量的大小。
示例
在以下示例中 用户编写了一个计数器 如下所示
信号 cnt 按从 0 16 进行计数 5 位矢量用于编码。布线后关键路径的板级原理图如下图所示。端点为 cnt 信号的第 30 位。
选中关键路径的起点和端点单元后 只需打开选定单元的板级原理图并展开从端点管脚返回到起点的逻辑 即可在细化视图中直观展示等效路径, 如下图所示。
细化视图显示加法器输入大小限制为 32 因为信号 cnt 已声明为整数。在此特定示例中 在整个综合最优化过程中均保持使用 32 位运算符。细化视图可明示所发生的变化 您可按如下方式更改 RTL 来获得进一步优化的网表和时序QoR。随着计数器从 0 递增至 16 您可为信号 cnt 定义范围 以强制将加法器输入宽度设置为 5 位而不是 32 位。
RTL 代码执行的更改后续将影响综合最优化 您可使用细化视图验证其影响 而无需执行整个编译流程
  • 5
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
Vivado时序分析是一种用于评估和优化FPGA设计中电路的时序约束和时序关系的工具。它可以帮助设计工程师发现和解决时序问题,提供最佳性能。 在使用Vivado时序分析的高级使用技巧中,以下几点值得注意: 1. 时序约束:时序约束是确保设计能够满足时钟频率和关键路径的重要因素。在Vivado中,用户可以使用SDF(Standard Delay Format)标准文件或XDC(Xilinx Design Constraints)文件来指定这些约束。在编写约束时,要确保准确性和完整性,并遵循合适的语法规则。 2. 数据路径优化:在设计中,数据路径通常是设计中最重要的部分,因为它涉及数据的传输和处理。在时序分析中,必须考虑数据路径的时序关系,包括时钟延迟和数据的传输延迟。通过合理地设置数据路径的约束,可以优化设计的性能,并提高系统的工作速度。 3. 时序限制:时序限制是约束时序分析时必须考虑的因素之一。时序限制可以通过一些关键字和属性来定义,如不能组合路径、时钟频率限制等。通过正确设置时序限制,可确保设计在满足时序要求的情况下运行。 4. 时钟分析:在时序分析中,时钟是一个关键因素,对于时钟频率和时钟延迟必须有合理的设置。通过正确设置时钟分析约束,可以确保时钟信号的正确传输和同步。 总之,在Vivado时序分析的高级使用技巧中,时序约束、数据路径优化、时序限制以及时钟分析是需要重点关注的方面。合理设置这些约束,可以帮助设计工程师发现和解决可能存在的时序问题,并提高设计的性能和稳定性。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

cckkppll

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值