通过FPGA实现RGB转HSV的图像处理功能

1.问题描述:

 

2.部分程序:

 

//H
cal_H cal_H_u(
    .i_clk   (~i_TxClk), 
    .i_rst   (i_rst), 
    .i_LVAL  (w_LVAL), 
    .i_Vmax  (w_Vmax), 
    .i_Vmin  (w_Vmin), 
    .i_PA_R  (w_PA_R), 
    .i_PB_G  (w_PB_G), 
    .i_PC_B  (w_PC_B), 
    .o_H     (o_H), 
    .o_test1 (), 
    .o_test2 (), 
    .o_test3 (w_test1), 
    .o_test4 (w_test2), 
    .o_test5 (), 
    .o_test6 (), 
    .o_test7 (), 
    .o_test8 (), 
    .o_test9 (), 
    .o_test10(), 
    .o_test11(), 
    .o_test12(), 
    .o_EN    (o_workenH)
    );


//S
cal_S cal_S_u(
    .i_clk  (~i_TxClk), 
    .i_rst  (i_rst), 
    .i_LVAL (w_LVAL), 
    .i_Vmax (w_Vmax), 
    .i_Vmin (w_Vmin), 
    .o_S    (o_S), 
    .o_test1(), 
    .o_test2(), 
    .o_EN   (o_workenS)
    );

 
//V
cal_V cal_V_u(
    .i_clk (~i_TxClk), 
    .i_rst (i_rst), 
    .i_LVAL(w_LVAL), 
    .i_Vmax(w_Vmax), 
    .o_V   (o_V), 
    .o_EN  (o_workenV)
    );

3.仿真结论:

A23-20

  • 1
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

fpga和matlab

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值