自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

FPGA/MATLAB学习教程/源码/项目合作开发

1.无线基带,无线图传,编解码 2.机器视觉,图像处理,三维重建 3.人工智能,深度学习 4.智能控制,智能优化等MATLAB仿真和FPGA实现

  • 博客(80)
  • 资源 (2203)
  • 收藏
  • 关注

原创 PSO拟合源码程序

% PSO : Particle Swarm Optimization (VECTORIZED)% particle swarm optimization (PSO) is a computational method that% optimizes a problem by iteratively trying to improve a candidate% solution with regard to a given measure of quality. PSO optimizes% ...

2020-12-31 19:33:10 4989

原创 FSK调制matlab源码程序

clc;clear all;close all;x=[ 1 0 1 0 1 0 1]; % Binary Informationbp=.0001; % bit perioddisp(' Binary information at Trans mitter :');disp(x);%XX representation o...

2020-12-31 19:32:08 10868

原创 自适应噪声抑制算法LMSmatlab源码

% filter parametersM=20; % number of tapsmu=0.05; % step-size parametere_max=200; % maximum #of epochs% constantspi=3.14;Fs=0.02; % signal frequencyFn=0.05; % noise frequency% initializew=(randn(1,M)-randn(1,M))/100;d=zeros(1,M);u=z...

2020-12-31 19:31:11 9428

原创 洋流的仿真matlab源码

%%% This is an example of how to visualize vector fields with streamlines in MATLAB&#174;. Vector fields contain vector information for every point in space. For example, air flow data inside a wind tunnel is a vector field.%% Read about the <ht.

2020-12-31 19:25:04 10392

原创 杨氏干涉的模拟matlab源码

% 杨氏干涉的模拟close allfigure('position',[217 266 694 244]); set(gcf,'DoubleBuffer','on');axes('position',[0.12,0.08,0.6,0.8]);hold on;rectangle('position',[-1,1.1,0.1,3],'FaceColor',[0.1,0.3,0.4]);rectangle('position',[-1,-4,0.1,3],'FaceColor',[0.1...

2020-12-31 19:24:13 4696

原创 光通过三稜镜色散源码

% 光通过三稜镜色散close allfigure('position',[78 276 792 402]);xp=[-0.2,0.2,0];yp=[0.2,0.2,0.5];B=pi/14;ZZ=[xp;yp]'*[cos(B),sin(B);-sin(B),cos(B)];fill(ZZ(:,1),ZZ(:,2),[0.2,0.4,0.6]);axis([-1,1,0,1]);hold on;set(gca,'color','k');t=0;A=pi/8;set(gcf,'...

2020-12-31 19:22:35 4208

原创 MATLAB在经济类线性代数中的应用

0 引言现代的经济理论一般借助于数学推理导出经济行为的本质规律,以满足定性到定量思维分析的要求,这使得数学作为经济学科的专业基础课受到广泛的关注和重视。而目前传统教学内容与经济学科的发展脱节,虽然学生学习了线性代数的数学理论,但由于经济数据的繁杂使得运算困难,在专业课的实际问题中仍难以得出结果,这就产生了将计算软件引人到计算中来的需要。matlab具有丰富的经济计算函数,能轻松解决利用线性代数知识解决的许多实际经济问题,适合引入课程教学。目前matlab在教学中的应用讨论主要集中...

2020-12-30 19:53:21 7928

原创 matlab计量经济学工具箱

Econometric ModelingA simple model is easier to estimate, forecast, and interpret.Specification tests helps you identify one or more model families that could plausibly describe the data generating process. Model comparisons help you compare the f..

2020-12-30 19:52:34 5505

原创 matlab程序转换和在excel VBA中的调用

金融行业中VBA有着几乎最广泛的运用,但是很多人苦于VBA很难找到如同matlab强大的数学运算工具库,而在某些问题的处理上会大费周章。我最近就遇到类似的问题。用matlab写了一个庞大的程序后,交到别人手里的时候需要转成VBA。而如果用手写的转换matlab的话,此项工程不下于用VB编写matlab……于是开始了matlab往VBA的调用转换过程。经过差不多有4个小时的时间,终于完成了摸索,调试,学习,完美实现的过程。因为网上能查找到的资料写得都异常混乱,我觉得很多人写的基本没有啥强的逻辑关系,估计那些人

2020-12-30 19:49:27 7159

原创 一种新的计算OFDM系统误码率

正交频分复用(OFDM)的基本原理是[1]把高速的数据流通过串并转换,分配到传输速率相对较低的若干个子信道中进行传输。每个子信道中的数据符号周期相对增加,时延扩展与符号周期的数值比相应降低,可以减轻由无线信道的多径时延扩展所产生的时间弥散性对系统造成的影响。还可以在OFDM符号之间插入循环前缀作为保护间隔,令保护间隔大于无线信道的最大时延扩展,最大限度地消除了由于多径而带来的符号间干扰(ISI)。随着数字信号处理技术的发展,对于子载波数目较大的系统用快速傅立叶变换(FFT Fast Fourier Tr

2020-12-30 19:48:39 10645 1

原创 无线局域网的组建技术实践应用

  尽管现在很多家庭用户都选择了有线的方式来组建局域网,但同时也会受到种种限制,例如,布线会影响房间的整体设计,而且也不雅观等。通过家庭无线局域网不仅可以解决线路布局,在实现有线网络所有功能的同时,还可以实现无线共享上网。在家庭和办公无线网络中,除了可以实现有线局域网常用的文件共享、打印共享等功能,还有一个常见的应用就是共享无线上网。凭借着种种优点和优势,越来越多的用户开始把注意力转移到了无线局域网上,也越来越多的家庭用户开始组建无线局域网了。下面我们将组建一个拥有两台电脑的家庭无线局域网。选择组网方.

2020-12-30 19:47:13 5563

原创 使用Matlab-仿真雷达信号处理系统

T=10e-6; %发射脉宽10usB=30e6; %调频带宽30MHzK=B/T; %频率调制斜率Fs=2.5*B;Ts=1/Fs; %计算机仿真的采样频率和采样周期N=T/Ts;...

2020-12-30 19:46:13 17030 5

原创 基于Matlab的OFDM系统仿真

现代通信的发展是爆炸式的。从电报、电话到今天的移动电话、互联网,人们从中享受了前所未有的便利和高效率。从有线到无线是一个飞跃,从完成单一的话音业务到完成视频、音频、图像和数据相结合的综合业务功能更是一个大的飞跃。在今天,人们获得了各种各样的通信服务,例如,固定电话、室外的移动电话的语音通话服务,有线网络的上百兆bit的信息交互。但是通信服务的内容和质量还远不能令人满意,现有几十Kbps传输能力的无线通信系统在承载多媒体应用和大量的数据通信方面力不从心:现有的通信标准未能全球统一,使得存在着跨区的通信障碍;另

2020-12-30 19:43:45 43320 6

原创 基于LED的室内可见光通信系统

室内VLC系统的一种典型设计如图l所示:由终端、可见光通信适配器、可见光通信集线器、白光LED光源、光电探测器及相应信号处理单元组成。系统分为前向链路和反向链路两部分.每部分都包括了发射和接收部分。发射部分主要由白光LED光源和相应信号处理单元组成.而接收部分主要由光电检测器和相应信号处理单元组成。可见光无线集线器是可见光通信网络中的核心组成部分,接收来自终端用户的信息.同时分时段地将接收到的信息通过主光源以广播的方式发送出去。可见光通信适配器包括了前向链路的自光LED光源和反向链路的光电接收器

2020-12-30 19:42:47 13882 1

原创 大规模MIMO多用户系统中的导频调度和预编码方法

多用户MIMO(Multiple-Input Multiple-Output)系统中下行链路模型MIMO广播信道(Broadcast Channels,BC)已经在很多文献中被研究了。已经证明当发射机具有理想信道信息(Channel State Information, CSI)时,MIMO-BC的容量能通过脏纸编码(Dirty-Paper Coding,DPC)获得[1]-[4]。但是在实际系统中,由于信道快速变化使得获得的信道信息的有效时间很短,并且当考虑基站配置大量天线时,脏纸编码的实现因为复杂度几乎

2020-12-30 19:41:08 7365 1

原创 vivado中关于FFT核的使用解析

在VIVADO中建立一个FFT核,只要依下图步骤就可以开始配置一个FFT核:可以看到如下的参数配置界面:number of channels是通道数量,即同时支持几个通道的FFT处理;transform length:表示FFT的长度,如128,512,2048等等。然后是FFT核的时钟频率和数据吞吐量;最后是FFT核的算法实现方式:包括流水线I/O:允许连续数据处理。Radix-4突发I/O:使用迭代方法分别加载和处理数据。使用资源大小比流水线解决方案小,但转换时间较长

2020-12-28 23:02:22 10124

原创 无线自组网AODV路由机制仿真源码

%主程序clear;nodes_number = 50;A = 100; R = 10;rand('state', 0);X = rand(1,nodes_number)*A/2; Y = rand(1,nodes_number)*A/2;fprintf('此网络有 %d 个节点。\n',nodes_number);fprintf('\n'); figure(1);clf;hold on;title('无线自组网AOD...

2020-12-27 18:49:16 9277 1

原创 无线定位算法源码

clearclc%四星自由空间TDOA仿真(解析法)%注:都认为是最先接收到信号的基站记为0,以它为坐标原点建立坐标系%参数初始化star_x=[0e-3 0e-3 1000e-3 1000e-3 ]; %单位kmstar_y=[0e-3 1000e-3 1000e-3 0e-3 ];star_z=[-300e-3 0e-3 0e-3 0e-3 ];x=10*(-20:20);y=10*(-20:20);z=10*ones(1,length(x));xyz_...

2020-12-27 18:48:34 10242 2

原创 手写字神经网络识别源码

%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%clcclear%读取原始图像I = imread('nnnl.jpg');figure,imshow(I);title('原始图像1');%调用函数对图像进行二值化等一系列操作img = myimgpreprocess(I);for cnt=1:50 %调用函数对图像进行修剪 tmp=img{cnt}; bw2 = myimgcrop(tmp); ...

2020-12-27 18:48:09 7836 2

原创 认知无线电切换算法,基于排队论源码

clearclcrand('twister',1);blockpu=[];blocksu=[];for N=3:2:7 block=[]; for lambdap =0.01:0.05:0.5%*****************************************%假设 1. CR网络和主网络(授权网络)共同存在于同一区域,并且使用同一频段。假设该频段共有N个信道,每个主用户或CR用户每次接入只占用一个信道。% 若所有信道均被主用户占用,此时...

2020-12-27 18:47:04 4250 1

原创 模糊控制器设计源码

clear % Clear all variables in memoryclc;close all;eold=0; % Intial condition used to calculate crold=0; % Intial condition used to calculate ryeold=0; % Intial condition used to calculate ycymold=0; % Initial condition for th...

2020-12-27 18:46:20 4258

原创 模版匹配定位跟踪源码

%% Find Green Object% This script reads in an image file and then attempts to find a green% object in the image. It is designed to find one green ball and highlight% that ball on the original image% Copyright 2013 The MathWorks, Inc.%% Housekeeping

2020-12-27 18:45:31 7467

原创 粒子群优化RBF神经网络源码

clear allclose all%G为迭代次数,n为个体长度(包括12个参数),m为总群规模%w,c1,c2为粒子群算法中的参数G =250;n = 12;m = 20;w = 0.1;c1 = 2;c2 = 2;for i = 1:3 MinX(i) = 0.1*ones(1); MaxX(i) = 3*ones(1);endfor i = 4:1:9 MinX(i) = -3*ones(1); MaxX(i) = 3*ones(1);...

2020-12-27 18:41:05 7343 2

原创 TDSR源码

function TDSR%This function simulate the concepts of Dynamic Source Routing%The function finds path from source node(node1) to destination node(node10)%The output of this function is the figure displaying network topology and%the selected path from s..

2020-12-27 18:40:00 4339

原创 癌症检测源码

%% Modeling Lung Cancer Diagnosis Using Bayesian Network Inference% This demo illustrates a simple Bayesian Network example for exact% probabilistic inference using Pearl's message-passing algorithm.%% Introduction% Bayesian networks (or belief netwo.

2020-12-27 18:38:50 7472

原创 三相整流器移相触发电路的整体FPGA设计

三相整流器移相触发电路系统的整体设计方案主要包括如下几个类型:基于CPLD的系统实现方案;基于专用芯片的系统实现方案以及基于中小规模集成电路的系统实现方案[06~09]。 ·基于中小规模集成电路的系统实现方案 基于中小规模集成电路来实现三相整流器移相触发电路系统,其主要方法是通过多个数字芯片焊接在PCB电路板上,构建一个三相整流器移相触发集成电路,该方法需要了解各个芯片的时序,设计的电路板需要严格满足时序要求。因此,通过这种方法得到的三相整流器移相触发电路,其系统稳定性较差,任何一个......

2020-12-25 03:37:13 10696 3

原创 三相三电平VIENNA整流器

减少电网谐波污染、提高电力整流装置的功率因数是电力电子研究领域的重要课题。随着绿色能源技术的快速发展,PWM 整流器技术已成为电力 电子技术研究的热点和亮点。因为它可以降低电网污染并实现可调整的功率 因数。在整流器领域,三相三电平 PWM 整流器具有功率因数高、谐波小等优 点,己经成为国内外研究的热点之一,因此对三相三电平 PWM 整流器进行 深入研究具有重要的现实意义。研究了新颖的三相三电平 VIENNA 整流器。首先通过对电力整流技 术的文献综述,分析了当前整流技术存在的不足以及工业应用对电

2020-12-25 03:32:07 11691 1

原创 双电机功率分汇流电动拖拉机再生制动策略

下面对双电机功率分汇流电动拖拉机再生制动策略进行分析研究,验证电动拖拉机制动能量回收的可行性。目前主要的再生制动控制策略有:基于回馈功率最大的控制策略、基于回馈效率最大的控制策略、基于最大制动电流的控制策略和基于恒定制动电流的控制策略等。综合考虑安全性能、节约能量和制动感觉等因素选择恒定制动电流的控制策略,采用基于占空比控制规律的控制策略,实现再生制动系统制动电流的恒定,分析在给定不同恒流目标值情况下对再生制动过程中回收率的影响。基于恒定制动电流的控制策略是把电机电枢电流作为控制对象,通过改变P

2020-12-25 03:29:09 5126

原创 三相电压型PWM整流器设计

随着功率半导体器件技术的进步,电力电子变流装置技术得到了快速发展,出现了以脉宽调制(PWM)控制为基础的各种变流装置,如变频器、逆变电源,高频开关电源以及各类特种变流器等,电力电子装置在国民经济各领域取得了广泛的应用,但是这些装置的使用会对电网造成严重的谐波污染问题。传统的整流方式会无论是二极管不控整流还是晶闸管相控整流电路能量均不能双向传递,不仅降低能源的利用率还会增加一定的污染,主要缺点是:1)无功功率的增加造成了装置功率因素降低,会导致损耗增加,降低电力装置的利用率等;2)谐波会引起系统内部相

2020-12-25 03:25:14 13472

原创 图像处理算法的FPGA实现研究

Table_y0.vhd \\ y0=c0×t0+c0×t2+c0×t4+c0×t6library ieee;use ieee.std_logic_1164.all;entity table_y0 isport(t6,t4,t2,t0:in std_logic; data:out std_logic_vector(7 downto 0));end table_y0;architecture one of table_y0 iss...

2020-12-25 03:22:22 7818 3

原创 COST231-WI模型信道仿真,源码仿真matlab编程源码

%%%%%%%%%%%%%%%COST231-WI非视距传播模型%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%适用条件f=800-2000MHz;Hb=4-50m;Hm=1-3m;d=0.02-5km%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%发射功率f(MHz),收发天线距离(小区半径)d(km)%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%移动台高度Hm(m),基站高度Hb(m)%%%%%%%%%%

2020-12-24 02:28:53 7824

原创 基于matlab编程二维空间内目标作匀速直线运动和匀速圆周运动的特点源码

T=2;alpha=0.8; % 加权衰减因子window=1/(1-alpha); % 检测机动的有效窗口长度dt=100; % dt=dt_x=dt_y=100Th=25; % 机动检测门限Ta=9.49; % 退出机动的检测门限N=800/T; % 采样次数M=50; % 模拟次数% 真实轨迹数据t=2:2:4...

2020-12-24 02:26:13 5653

原创 图像压缩编码码matlab实现——算术编码

clear allclcformat long;symbol = ['abcd'];pr = [0.1 0.4 0.2 0.3];seqin = ['cadacdb'];codeword = arencode(symbol, pr, seqin)seqout = ardecode(symbol, pr, codeword, 7)function symseq = ardecode(symbol, pr, codeword, symlen)%给定字符概率的算术编码%输出:symse.

2020-12-24 02:23:21 7070

原创 图像压缩编码码matlab实现——行程编码

function [zipped, info] = RLEncode(vector)[m, n] = size(vector);%vector = vector(:)';vector = uint8(vector(:));L = length(vector);c = vector(1);e(1, 1) = c; %e(:, 1)存放灰度e(1, 2) = 0; %e(:, 2)存放行程t1 = 1;for j = 1: L if((vector(j) == c))...

2020-12-24 02:22:13 5252

原创 图像压缩编码码matlab实现——常用参数计算

function PSNR = psnr(f1, f2)%计算两幅图像的峰值信噪比k = 8; %k为图像中表示一个像素点所用的二进制位数,即位深。fmax = 2.^k - 1;a = fmax.^2;e = double(f1) - double(f2);[m, n] = size(e);b = sum(e(:).^2);PSNR = 10*log(m*n*a/b);function b = bytes(f)%函数bytes返回输入f占用的比特数%if ischa...

2020-12-24 02:21:22 4467

原创 图像压缩编码码matlab实现——变换编码

%设置压缩比crcr = 0.5;%读入并显示原始图像I1 = imread('lena512.bmp');figure(1);imshow(I1);%对图像进行FFTI1 = double(I1);fftcoe = blkproc(I1, [8 8], 'fft2(x)');coevar = im2col(fftcoe, [8 8], 'distinct');coe = coevar;[y, ind] = sort(coevar);[m, n] = size(coevar);sn

2020-12-24 02:19:13 5610

原创 图像压缩编码码matlab实现——JSjpeg

function y = jpegencode(x, quality)%jpegencode函数用来压缩图像,x为输入图像%quality决定了截去的系数和压缩比error(nargchk(1, 2, nargin)); %检查输入参数if nargin < 2 quality = 1; %默认时 quality为1endx = double(x) - 128; %像素层次移动-128[xm, xn] = size(x); %得到像素尺寸t = dctmtx...

2020-12-24 02:17:43 6811 1

原创 图像压缩编码码matlab实现——DM编码

function y = DMencode(x, a, delt)%函数DMencode为德尔塔编码程序,x为要编码的矩阵,a为预测系数,delt为一个正常数。%当a默认时,a=1;delt默认时,delt=6.5。error(nargchk(1, 3, nargin))if nargin < 3 delt = 6.5 if nargin < 2 a = 1; endendx = double(x);[m, n] = size(x);p...

2020-12-24 02:16:28 6824

原创 RRT算法的仿真

% % An example of rapidly-exploring random trees and path planning in 2-D% % Ref: "Rapidly-Exploring Random Trees: A New Tool for Path Planning",% % Steven M. LaValle, 1998%~~~~% Code can also be converted to function with input format% [tree, path] =

2020-12-22 05:51:56 4360

原创 Okumura-Hata模型损耗信道仿真

%%%%%%%%%%%%%%%hata传播模型%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%适用条件f=150-1000MHz;Hb=30-100m;Hm=1-10m;d=1-20km%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%wireless_hata_attenuation(Model,f,Hm,Hb,d)%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%发射功率f(MHz),收发天线距离

2020-12-22 05:51:14 4060

基于Shi-Tomasi角点检测和KLT算法的双目图像三维重建matlab仿真,包括程序,程序中文注释,仿真操作步骤

1.版本:matlab2022A。 2.包含:程序,程序中文注释,仿真操作步骤(使用windows media player播放)。 3.领域:双目图像三维重建 4.仿真效果:仿真效果可以参考博客同名文章《基于Shi-Tomasi角点检测和KLT算法的双目图像三维重建matlab仿真》 5.内容:基于Shi-Tomasi角点检测和KLT算法的双目图像三维重建matlab仿真。Shi-Tomasi角点检测算法是一种用于在图像中识别具有独特性的点的算法,这些点通常称为“角点”或者“特征点”。角点是指那些在不同方向上都有显著变化的图像区域中的点。Shi-Tomasi算法基于这样的假设:如果一个点在多个方向上都有较大的梯度变化,则该点很可能是一个好的角点。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-09-17

基于FPGA的超高阶16384QAM星座点映射verilog实现,包含verilog程序,testbench,matlab星座图

1.版本:matlab2022A,vivado2019.2。 2.包含:verilog程序,testbench,matlab星座图,仿真操作步骤(使用windows media player播放)。 3.领域:超高阶16384QAM 4.仿真效果:仿真效果可以参考博客同名文章《基于FPGA的超高阶16384QAM星座点映射verilog实现》 5.内容:基于FPGA的超高阶16384QAM星座点映射verilog实现。16384-QAM意味着每个调制符号可以携带log₂(16384) = 14比特的信息。为了实现如此高的信息承载能力,需要设计一个足够大的星座图,使得星座点之间的最小欧氏距离尽可能大。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。vivado工程必须英文路径

2024-09-12

雷达信号特性的matlab仿真与分析,对比线性调频信号,非线性调频信号,相位编码信号,包括程序,程序功能介绍,仿真操作步骤

1.版本:matlab2022A。 2.包含:程序,程序功能介绍,仿真操作步骤(使用windows media player播放)。 3.领域:雷达信号 4.仿真效果:仿真效果可以参考博客同名文章《雷达信号特性的matlab仿真与分析,对比线性调频信号,非线性调频信号,相位编码信号》 5.内容:雷达信号特性的matlab仿真与分析,对比线性调频信号,非线性调频信号,相位编码信号。对于线性调频信号,分析了线性调频信号的各类特性,线性调频脉冲压缩信号具有实现简单,但旁瓣电平高等特点。对于非线性调频信号,主要在大时宽带宽积的条件下,选择不同的窗函数设计波形对脉冲压缩后分别仿真其主副瓣比和主瓣宽度。然后采用组合窗法,选择两种或多种合适的窗函数,对其进行线性组合得到新的组合窗调频函数,并采用MATLAB对相关的理论进行了仿真。对于相位编码信号,主要仿真了巴克码。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-09-08

基于协作认知无线电通信系统的信道估计算法matlab仿真,对比LS和MMSE,包括程序,中文注释,仿真操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,仿真操作步骤(使用windows media player播放)。 3.领域:协作认知无线 4.仿真效果:仿真效果可以参考博客同名文章《基于协作认知无线电通信系统的信道估计算法matlab仿真,对比LS和MMSE》 5.内容:基于协作认知无线电通信系统的信道估计算法matlab仿真,对比LS和MMSE。在协作认知无线电通信系统中,信道估计是一项关键的技术,它直接影响着系统性能,如数据传输速率、误码率以及频谱利用率等。信道估计的主要目的是通过已知的训练序列来估计无线信道的特性,进而用于数据检测、干扰抑制和其他处理任务。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-09-01

基于SAGE算法的宽带信道参数估计matlab仿真,包括程序,中文注释,参考文献,仿真操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,参考文献,仿真操作步骤(使用windows media player播放)。 3.领域:SAGE算法 4.仿真效果:仿真效果可以参考博客同名文章《基于SAGE算法的宽带信道参数估计matlab仿真》 5.内容:基于SAGE算法的宽带信道参数估计matlab仿真。SAGE算法是GEM算法的一个扩展,其核心思想是在期望最大化(Expectation-Maximization, EM)算法的基础上交替地对不同的参数组进行优化。在宽带信道参数估计中,SAGE算法可以用来估计信道的冲激响应。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-08-29

基于ACO蚁群优化算法的城市TSP问题求解matlab仿真,包括程序,中文注释,参考文献,仿真操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,参考文献,仿真操作步骤(使用windows media player播放)。 3.领域:城市TSP问题求解 4.仿真效果:仿真效果可以参考博客同名文章《基于ACO蚁群优化算法的城市TSP问题求解matlab仿真》 5.内容:基于ACO蚁群优化算法的城市TSP问题求解matlab仿真。蚁群优化(Ant Colony Optimization, ACO)算法是一种启发式搜索算法,它模仿了真实世界中蚂蚁寻找食物的行为。这种算法特别适合用于解决组合优化问题,如旅行商问题(Traveling Salesman Problem, TSP)。TSP问题是这样的:给定一系列城市和它们之间的距离,找到一条路径,使得从一个城市出发访问每个城市恰好一次后回到起点,并且这条路径的总距离最短。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-08-25

风机负荷中无刷直流电机的闭环速度控制系统simulink建模与仿真,包括simulink模型,仿真操作步骤

1.版本:matlab2022A。 2.包含:simulink模型,仿真操作步骤(使用windows media player播放)。 3.领域:闭环速度控制系统 4.仿真效果:仿真效果可以参考博客同名文章《风机负荷中无刷直流电机的闭环速度控制系统simulink建模与仿真》 5.内容:风机负荷中无刷直流电机的闭环速度控制系统simulink建模与仿真。无刷直流电机的闭环速度控制系统在风机负荷中是非常重要的组成部分。通过合理设计和调整PID控制器的参数,可以确保电机在各种工作条件下都能稳定、高效地运行。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-08-25

常见数字调制解调系统matlab误码率仿真,对比BPSK,QPSK,16QAM,包括程序,中文注释,仿真操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,仿真操作步骤(使用windows media player播放)。 3.领域:数字调制解调系统 4.仿真效果:仿真效果可以参考博客同名文章《常见数字调制解调系统matlab误码率仿真,对比BPSK,QPSK,16QAM》 5.内容:常见数字调制解调系统matlab误码率仿真,对比BPSK,QPSK,16QAM。数字调制技术是现代通信系统的核心组成部分,用于将数字信号转换成适合通过物理介质传输的形式。本程序对比包括BPSK(二进制相移键控)、QPSK(四相相移键控)以及16-QAM(16正交幅度调制)三种调制解调系统的误码率。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-08-18

三电平SVPWM算法的simulink建模与仿真,包括simulink模型,仿真操作步骤

1.版本:matlab2022A。 2.包含:simulink模型,仿真操作步骤(使用windows media player播放)。 3.领域:三电平SVPWM算法 4.仿真效果:仿真效果可以参考博客同名文章《三电平SVPWM算法的simulink建模与仿真》 5.内容:三电平SVPWM算法的simulink建模与仿真。三电平空间矢量脉宽调制(SVPWM)算法通常用于三电平逆变器控制中,它能够有效地提高直流电压利用率和降低输出电压谐波含量。在三电平逆变器中,每个开关臂可以处于三种状态:高电平、低电平和零电平。因此,对于一个相来说,它可以产生7种不同的电压矢量(包括两个零矢量和五个非零矢量)。这些矢量可以组成一个六边形的空间矢量图。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-08-13

分别通过SVM和MLP对数据进行分类测试matlab仿真,输出ROC曲线,包括程序,中文注释,仿真操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,仿真操作步骤(使用windows media player播放)。 3.领域:SVM和MLP 4.仿真效果:仿真效果可以参考博客同名文章《分别通过SVM和MLP对数据进行分类测试matlab仿真,输出ROC曲线》 5.内容:分别通过SVM和MLP对数据进行分类测试matlab仿真,输出ROC曲线。包括步骤:加载数据,分割数据集,训练SVM模型,训练MLP模型,对测试数据进行预测,计算并绘制ROC曲线,最后进行ROC曲线对比。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-08-12

基于L2正则化项的正交人脸识别算法matlab仿真,包括程序,中文注释,仿真操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,仿真操作步骤(使用windows media player播放)。 3.领域:人脸识别 4.仿真效果:仿真效果可以参考博客同名文章《基于L2正则化项的正交人脸识别算法matlab仿真》 5.内容:基于L2正则化项的正交人脸识别算法matlab仿真。仿真输出ROC曲线,混淆矩阵。在正交人脸识别中,L2正则化通常被用来优化特征选择过程。比如,在PCA中,我们可以通过添加L2正则化项来控制特征向量的权重,从而得到更稳健的特征表示。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-08-09

基于IMM交互多模和卡尔曼滤波的多模型目标跟踪算法matlab仿真,包括程序,中文注释,仿真操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,仿真操作步骤(使用windows media player播放)。 3.领域:多模型目标跟踪 4.仿真效果:仿真效果可以参考博客同名文章《基于IMM交互多模和卡尔曼滤波的多模型目标跟踪算法matlab仿真》 5.内容:基于IMM交互多模和卡尔曼滤波的多模型目标跟踪算法matlab仿真。IMM算法是一种递归估计方法,它通过组合多个卡尔曼滤波器来处理动态变化的目标模型。每个滤波器都对应一个不同的运动模型,如常速模型、匀加速模型等。这些滤波器相互作用并共享信息以更新概率权重,从而在各个模型之间进行平滑切换。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-08-07

基于双PI和SVPWM的永磁同步电机控制系统simulink建模与仿真,simulink模型,中文注释,参考文献,仿真操作步骤

1.版本:matlab2022A。 2.包含:simulink模型,中文注释,参考文献,仿真操作步骤(使用windows media player播放)。 3.领域:双PI和SVPWM 4.仿真效果:仿真效果可以参考博客同名文章《基于双PI和SVPWM的永磁同步电机控制系统simulink建模与仿真》 5.内容:基于双PI和SVPWM的永磁同步电机控制系统simulink建模与仿真。基于双PI控制器和SVPWM的PMSM控制系统是一种有效的电机控制策略,能够实现高精度的转矩和速度控制。设计此类系统时需要考虑的因素包括电机参数、控制器参数的选择、电流和速度检测以及SVPWM的实现。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-08-03

基于CNN卷积神经网络的mnist手写数字库训练matlab仿真,包括程序,注释,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,仿真操作步骤(使用windows media player播放)。 3.领域:CNN+mnist 4.仿真效果:仿真效果可以参考博客同名文章《基于CNN卷积神经网络的mnist手写数字库训练matlab仿真》 5.内容:基于CNN卷积神经网络的mnist手写数字库训练matlab仿真。使用卷积神经网络(Convolutional Neural Network, CNN)对MNIST手写数字数据集进行训练是一个常见的机器学习任务。MNIST数据集包含60,000个训练样本和10,000个测试样本的手写数字灰度图像,每个图像的尺寸为28x28像素。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-08-03

基于文化优化算法的非线性无约束函数问题求解matlab仿真,包括程序,注释,参考文献,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,参考文献,仿真操作步骤(使用windows media player播放)。 3.领域:文化优化算法 4.仿真效果:仿真效果可以参考博客同名文章《基于文化优化算法的非线性无约束函数问题求解matlab仿真》 5.内容:基于文化优化算法的非线性无约束函数问题求解matlab仿真。文化算法(Cultural Algorithm, CA)是一种模仿人类文化的进化计算方法,它通过模拟人类文化中的社会学习过程来解决优化问题。文化算法通常包括两个主要部分:种群空间(Population Space)和信仰空间(Belief Space)。种群空间负责传统的进化计算操作,如选择、交叉、变异等;而信仰空间则用于存储和更新知识,这些知识指导种群空间中个体的行为和进化方向。在非线性无约束函数问题中,文化算法可以有效地探索解空间并找到全局最优解或者接近最优解的解。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-07-29

基于IMMPF交互式多模粒子滤波的目标跟踪算法matlab仿真,包括程序,注释,参考文献,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,参考文献,仿真操作步骤(使用windows media player播放)。 3.领域:交互式多模粒子滤波 4.仿真效果:仿真效果可以参考博客同名文章《基于IMMPF交互式多模粒子滤波的目标跟踪算法matlab仿真》 5.内容:基于IMMPF交互式多模粒子滤波的目标跟踪算法matlab仿真。交互式多模态粒子滤波器(Interactive Multiple Model Particle Filter, IMMPF)是一种结合了交互式多模型滤波(IMM)与粒子滤波(PF)优点的方法,用于解决目标跟踪中的非线性及非高斯问题。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-07-29

wcdma通信链路matlab误码率仿真,包括程序,注释,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,仿真操作步骤(使用windows media player播放)。 3.领域:wcdma 4.仿真效果:仿真效果可以参考博客同名文章《wcdma通信链路matlab误码率仿真》 5.内容:wcdma通信链路matlab误码率仿真。卷积编码:使用了 poly2trellis 函数来创建一个卷积编码器的trellis结构。 OVSF码:OVSF码用于扩频,即把信号扩展到更宽的频带上。 根升余弦接收滤波器:这种类型的滤波器被用来恢复信号的形状,降低码间干扰 (ISI)。 解扰:解扰过程是将信号与分配给用户的特定扰码相乘。 解扩:解扩过程是将解扰后的信号与OVSF码相乘。 积分:积分步骤是将解扩后的信号按OVSF码的长度进行求和,以便从扩频信号中恢复原始比特。 维特解码:使用维特算法进行卷积编码的解码。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-07-28

基于simulink的模糊PID控制系统建模与仿真,包括simulink文件,参考文献,操作步骤

1.版本:matlab2022A。 2.包含:simulink文件,参考文献,操作步骤(使用windows media player播放)。 3.领域:模糊PID控制 4.仿真效果:仿真效果可以参考博客同名文章《基于simulink的模糊PID控制系统建模与仿真》 5.内容:基于simulink的模糊PID控制系统建模与仿真。模糊PID控制系统是一种结合了模糊逻辑和传统PID(比例-积分-微分)控制策略的控制方法,旨在提高控制系统的性能,尤其是在面对非线性、时变或不确定性的被控对象时。模糊PID控制器通过引入模糊逻辑系统来动态调整PID控制器的参数(即比例系数Kp、积分时间Ti和微分时间Td),从而实现对控制规则的灵活调整,以达到更好的控制效果。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-07-20

基于PSO优化的BP神经网络训练与测试matlab仿真,包括程序,注释,参考文献,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,参考文献,仿真操作步骤(使用windows media player播放)。 3.领域:PSO优化的BP神经网络 4.仿真效果:仿真效果可以参考博客同名文章《基于PSO优化的BP神经网络训练与测试matlab仿真》 5.内容:基于PSO优化的BP神经网络训练与测试matlab仿真。粒子群优化(Particle Swarm Optimization, PSO)结合BP(Backpropagation)神经网络是一种常见的优化方法,用于提升神经网络的学习能力和泛化能力。PSO不仅帮助BP神经网络找到了一个较好的初始解,从而可能加快了训练过程并提高了最终模型的质量,而且还能探索到更广泛的解空间,有助于避免局部最优解。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-07-20

基于FPGA的斐波那契数列verilog实现,包含testbench,包括程序,注释,操作步骤

1.版本:vivado2019.2。 2.包含:程序,注释,操作步骤(使用windows media player播放)。 3.领域:斐波那契数列 4.仿真效果:仿真效果可以参考博客同名文章《基于FPGA的斐波那契数列verilog实现,包含testbench》 5.内容:基于FPGA的斐波那契数列verilog实现,包含testbench。基于FPGA实现斐波那契数列可以采用多种方法,本课题采用的是时序逻辑(状态机)来加速计算。这种方法适用于生成较短的斐波那契数列,对于长序列,更高效的设计可能涉及更复杂的并行计算策略。 6.注意事项:路径必须是英文路径,路径的字母长度个数必须小于148.

2024-07-14

基于ACO蚁群优化算法的机器人路径规划matlab仿真,包括程序,注释,参考文献,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,参考文献,仿真操作步骤(使用windows media player播放)。 3.领域:机器人路径规划 4.仿真效果:仿真效果可以参考博客同名文章《基于ACO蚁群优化算法的机器人路径规划matlab仿真》 5.内容:基于ACO蚁群优化算法的机器人路径规划matlab仿真。ACO算法模拟蚂蚁在搜索食物路径时留下信息素(pheromone)的行为。在算法中,信息素作为一种虚拟物质,其浓度反映了路径的质量,浓度越高表示路径越优。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-07-14

基于MPPT最大功率跟踪的光伏发电系统simulink建模与仿真,包括simulink模型,参考文献,操作步骤视频

1.版本:matlab2022A。 2.包含:simulink模型,参考文献,操作步骤视频(使用windows media player播放)。 3.领域:光伏发电 4.仿真效果:仿真效果可以参考博客同名文章《基于MPPT最大功率跟踪的光伏发电系统simulink建模与仿真》 5.内容:基于MPPT最大功率跟踪的光伏发电系统simulink建模与仿真。基于MPPT(最大功率点跟踪)的光伏发电系统是一种通过实时调节光伏电池的工作点,以确保其始终工作在最大功率输出状态下的技术方案。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-07-14

基于FPGA的FIFO实现,包含testbench,不使用IP核,包括程序,注释,操作步骤

1.版本:vivado2019.2。 2.包含:程序,注释,操作步骤(使用windows media player播放)。 3.领域:FIFO 4.仿真效果:仿真效果可以参考博客同名文章《基于FPGA的FIFO实现,包含testbench,不使用IP核》 5.内容:基于FPGA的FIFO实现,包含testbench,不使用IP核。在FPGA上实现FIFO(First In First Out,先进先出)通常涉及使用双端口RAM(或称为BRAM,Block RAM)作为存储介质,以及控制逻辑来管理读写指针和状态标志(如空、满)。通过testbench模拟FIFO写入,读取的过程。 6.注意事项:路径必须是英文路径,路径的字母长度个数必须小于148.

2024-07-06

基于遗传算法的多目标优化matlab仿真,输出最优帕累托值,包括程序,注释,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,仿真操作步骤(使用windows media player播放)。 3.领域:遗传算法 4.仿真效果:仿真效果可以参考博客同名文章《基于遗传算法的多目标优化matlab仿真,输出最优帕累托值》 5.内容:基于遗传算法的多目标优化matlab仿真,输出最优帕累托值。基于遗传算法的多目标优化是一种解决具有多个冲突目标函数的优化问题的有效方法。遗传算法(Genetic Algorithm, GA)通过模拟自然选择和遗传学原理,在解空间中搜索问题的最优解。在多目标优化中,目标是找到一组解,这些解在所有目标函数上表现良好,且不存在任何一个目标可以通过牺牲其他目标来进一步改善,这样的解集合被称为帕累托最优解集(Pareto Optimal Set)。帕累托前沿(Pareto Frontier)则是在目标空间中由这些最优解构成的边界。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-07-06

基于周期模式算法的一维信号相位和周期测量matlab仿真,包括程序,注释,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,仿真操作步骤(使用windows media player播放)。 3.领域:一维信号相位和周期测量 4.仿真效果:仿真效果可以参考博客同名文章《基于周期模式算法的一维信号相位和周期测量matlab仿真》 5.内容:基于周期模式算法的一维信号相位和周期测量matlab仿真。基于周期模式算法的一维信号相位和周期测量,是指从具有周期性特征的一维信号中,准确地识别出信号的重复周期(即周期)以及在该周期内的起始相位。这种测量技术广泛应用于图像处理、信号分析、振动监测、光学测量等领域。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-07-06

基于强化学习的倒立摆平衡控制算法matlab仿真,包括程序,注释,参考文献,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,参考文献,仿真操作步骤(使用windows media player播放)。 3.领域:强化学习 4.仿真效果:仿真效果可以参考博客同名文章《基于强化学习的倒立摆平衡控制算法matlab仿真》 5.内容:基于强化学习的倒立摆平衡控制算法matlab仿真。基于强化学习(Reinforcement Learning, RL)的倒立摆平衡控制算法是一种利用机器学习方法,特别是强化学习框架,来自动学习如何控制倒立摆系统维持平衡状态的技术。倒立摆问题是一个经典的控制问题,其目标是通过控制底座的移动(如加速度或角度变化)来使一个垂直悬挂的小车或者摆杆维持直立不倒。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-07-06

基于FPGA的AM信号解调verilog实现,包括程序,注释,操作步骤

1.版本:quartusii13.01-64bits,modelsim-altera 6.5d,matlab2022A。 2.包含:程序,中文注释,仿真操作步骤(使用windows media player播放)。 3.领域:AM信号解调 4.仿真效果:仿真效果可以参考博客同名文章《基于FPGA的AM信号解调verilog实现》 5.内容:基于FPGA的AM信号解调verilog实现。基于FPGA的AM(调幅)信号解调在Verilog语言中的实现通常涉及到模拟信号的数字化处理,主要步骤包括采样、同步、包络提取等。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。FPGA工程路径要英文。

2024-06-25

单级柔性机械臂的LQR位置控制matlab仿真,包括程序,注释,参考文献,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,参考文献,仿真操作步骤(使用windows media player播放)。 3.领域:LQR控制 4.仿真效果:仿真效果可以参考博客同名文章《单级柔性机械臂的LQR位置控制matlab仿真》 5.内容:单级柔性机械臂的LQR位置控制matlab仿真。单级柔性机械臂的LQR(Linear Quadratic Regulator)位置控制是一种利用线性二次型最优控制理论来设计控制器,以实现机械臂末端或某环节位置的精确跟踪和稳定控制的方法。柔性机械臂相较于刚性机械臂,由于存在弹性变形,其动态特性更加复杂,因此在进行位置控制时需要考虑其动力学模型中的弹性因素。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-06-25

基于Dijkstra最短路径算法的栅格地图避障路线规划matlab仿真,包括程序,注释,参考文献,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,参考文献,仿真操作步骤(使用windows media player播放)。 3.领域:栅格地图避障路线规划 4.仿真效果:仿真效果可以参考博客同名文章《基于Dijkstra最短路径算法的栅格地图避障路线规划matlab仿真》 5.内容:基于Dijkstra最短路径算法的栅格地图避障路线规划matlab仿真。基于Dijkstra's最短路径算法的栅格地图避障路线规划是一种常见的路径规划方法,适用于机器人导航、游戏AI等领域。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-06-25

基于非主导排序遗传算法的多目标优化matlab仿真,包括程序,注释,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,仿真操作步骤(使用windows media player播放)。 3.领域:非主导排序遗传算法 4.仿真效果:仿真效果可以参考博客同名文章《基于非主导排序遗传算法的多目标优化matlab仿真》 5.内容:基于非主导排序遗传算法的多目标优化matlab仿真。非主导排序遗传算法(Non-Dominated Sorting Genetic Algorithm, NSGA)是一种广泛应用于解决多目标优化问题的启发式搜索方法。其核心思想是在每一代种群中识别并保留那些非支配解,即不存在其他解在所有目标函数上同时优于它,同时尽量保持这些解的分布多样性。NSGA经过迭代进化,旨在找到逼近真实Pareto前沿(即不可能同时改善所有目标而不损害至少一个目标的解集合)的解集。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-06-25

基于LUT查找表方法的正弦信号产生器FPGA实现,包含testbench,包括程序,注释,操作步骤

1.版本:vivado2019.2。 2.包含:程序,注释,操作步骤(使用windows media player播放)。 3.领域:正弦信号产生器 4.仿真效果:仿真效果可以参考博客同名文章《基于LUT查找表方法的正弦信号产生器FPGA实现,包含testbench》 5.内容:基于LUT查找表方法的正弦信号产生器FPGA实现,包含testbench。查表法的基本思想是预先计算出一个周期内正弦波的离散点值,并将这些值存储在一个查找表(LUT)中。FPGA中的LUT本质上是一种小型的ROM,可以根据输入地址迅速返回预设的数据值。对于正弦波生成,这个地址通常是根据输入的角度或者时钟计数来决定的。ROM模块使用verilog编程实现,因此可以移植到quartusii平台 6.注意事项:路径必须是英文路径,路径的字母长度个数必须小于148.

2024-06-19

基于simulink的SEDC励磁阻尼控制系统建模与仿真,包括simulink模型,注释,参考文献,操作步骤

1.版本:matlab2022A。 2.包含:simulink模型,注释,参考文献,操作步骤(使用windows media player播放)。 3.领域:励磁阻尼控制 4.仿真效果:仿真效果可以参考博客同名文章《基于simulink的SEDC励磁阻尼控制系统建模与仿真》 5.内容:基于simulink的SEDC励磁阻尼控制系统建模与仿真。SEDC,即Supplementary Excitation Damping Controller,补充励磁阻尼控制器,是一种专门设计用于电力系统中同步发电机的控制系统。它的主要功能是增强发电机的动态稳定性,特别是针对低频振荡(如电力系统的机电振荡)和瞬态过电压等问题。励磁阻尼控制通过调节发电机的励磁电流,改变发电机磁场的特性,进而影响发电机的电磁反应,达到抑制系统振荡和稳定电压的目的。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-06-19

基于龙格库塔算法的偏微分方程求解matlab仿真,包括程序,注释,参考文献,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,参考文献,仿真操作步骤(使用windows media player播放)。 3.领域:偏微分方程求解 4.仿真效果:仿真效果可以参考博客同名文章《基于龙格库塔算法的偏微分方程求解matlab仿真》 5.内容:基于龙格库塔算法的偏微分方程求解matlab仿真。偏微分方程(PDE)的求解通常比常微分方程(ODE)更为复杂,因为PDE涉及到多个自变量的变化。龙格-库塔方法作为一种数值积分方法,主要用于解决一阶或更高阶的常微分方程初值问题,直接应用于偏微分方程并不适用。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-06-19

基于强化学习的非平稳学习回报最大化matlab仿真,包括程序,注释,操作步骤

1.版本:matlab2022A。 2.包含:程序,注释,操作步骤(使用windows media player播放)。 3.领域:强化学习 4.仿真效果:仿真效果可以参考博客同名文章《基于强化学习的非平稳学习回报最大化matlab仿真》 5.内容:基于强化学习的非平稳学习回报最大化matlab仿真。非平稳环境下的强化学习(Reinforcement Learning, RL)挑战在于,环境的动力学特性(例如奖励函数或状态转移概率)随时间变化,这要求学习算法不仅要适应当前环境,还要持续学习以应对未来的变动。最大化非平稳环境中的学习回报,关键在于设计或选择能够灵活适应变化、快速学习和泛化的策略与算法。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-06-13

基于PI控制的电动车建模与性能分析matlab仿真,包括程序/simulink模型,注释,操作步骤

1.版本:matlab2022A。 2.包含:程序/simulink模型,注释,操作步骤(使用windows media player播放)。 3.领域:电动车 4.仿真效果:仿真效果可以参考博客同名文章《基于PI控制的电动车建模与性能分析matlab仿真》 5.内容:基于PI控制的电动车建模与性能分析matlab仿真。基于PI控制的电动车模型主要涉及到两个部分:电动车的动力学模型和控制器的设计。PI控制器(比例-积分控制器)因其简单且有效的特性,在车辆控制工程中被广泛应用。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-06-13

基于二自由度的半主动悬架控制系统matlab建模与仿真,包括程序,注释,操作步骤

1.版本:matlab2022A。 2.包含:程序,注释,操作步骤(使用windows media player播放)。 3.领域:半主动悬架控制系统 4.仿真效果:仿真效果可以参考博客同名文章《基于二自由度的半主动悬架控制系统matlab建模与仿真》 5.内容:基于二自由度的半主动悬架控制系统matlab建模与仿真。基于二自由度的半主动悬架控制系统是一种旨在改善汽车行驶平顺性和操纵稳定性的控制系统。这种系统通过实时调节悬架阻尼力,以适应不同路况和驾驶条件,减少车身振动和冲击,同时确保车辆稳定性。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-06-11

基于FPGA的占空比可配置的PWM脉宽调制方波产生器Verilog实现,包含testbench,包括程序,注释,操作步骤

1.版本:vivado2019.2。 2.包含:程序,注释,操作步骤(使用windows media player播放)。 3.领域:PWM脉宽调制方波产生器 4.仿真效果:仿真效果可以参考博客同名文章《基于FPGA的占空比可配置的PWM脉宽调制方波产生器Verilog实现,包含testbench》 5.内容:基于FPGA的占空比可配置的PWM脉宽调制方波产生器Verilog实现,包含testbench。占空比(Duty Cycle)定义为PWM信号高电平时间与总周期时间的比率,通常以百分比表示。例如,占空比为70%意味着在一个完整的周期内,信号有70%的时间处于高电平状态,剩余30%处于低电平。 6.注意事项:路径必须是英文路径,路径的字母长度个数必须小于148.

2024-06-11

基于FPGA的可编程方波发生器verilog实现,包含testbench,包括程序,注释,操作步骤

1.版本:vivado2019.2。 2.包含:程序,注释,操作步骤(使用windows media player播放)。 3.领域:可编程方波发生器 4.仿真效果:仿真效果可以参考博客同名文章《基于FPGA的可编程方波发生器verilog实现,包含testbench》 5.内容:基于FPGA的可编程方波发生器verilog实现,包含testbench。通过可变的开启(逻辑1)和关闭(逻辑0)间隔。间隔的持续时间为由两个4位控制信号指定。开启和关闭间隔分别为m*100ns和n*100ns。 6.注意事项:路径必须是英文路径,路径的字母长度个数必须小于148.

2024-06-11

分别通过BP神经网络和GRNN广义回归神经网络对电力负荷数据进行训练和预测matlab仿真,包括程序,注释,操作步骤

1.版本:matlab2022A。 2.包含:程序,注释,操作步骤(使用windows media player播放)。 3.领域:电力负荷预测 4.仿真效果:仿真效果可以参考博客同名文章《分别通过BP神经网络和GRNN广义回归神经网络对电力负荷数据进行训练和预测matlab仿真》 5.内容:分别通过BP神经网络和GRNN广义回归神经网络对电力负荷数据进行训练和预测matlab仿真。在电力负荷预测中,BP神经网络(Back Propagation Neural Network)和广义回归神经网络(Generalized Regression Neural Network,简称GRNN)都是常用的预测模型。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-06-09

基于图像纹理特征提取的图像分割算法matlab仿真,包括程序,注释,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,仿真操作步骤(使用windows media player播放)。 3.领域:图像分割 4.仿真效果:仿真效果可以参考博客同名文章《基于图像纹理特征提取的图像分割算法matlab仿真》 5.内容:基于图像纹理特征提取的图像分割算法matlab仿真。图像分割是计算机视觉和图像处理领域中的一个基本问题,旨在将图像划分为多个互不相交的区域,这些区域在某些特性上(如颜色、亮度、纹理等)相对一致。基于图像纹理特征的分割算法利用了图像中像素之间的空间关系和结构模式,这些特征能够有效地反映出不同区域间的差异性。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-06-09

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除