FPGA实验 - LED测试

这篇博客详细记录了一次FPGA实验过程,通过按键控制LED灯的亮灭。内容包括理解硬件电路,设计要求(4个按键对应4个LED),程序设计思路(使用非门和D触发器),仿真验证,管脚绑定以及最终程序下载和功能验证,实验成功。
摘要由CSDN通过智能技术生成

按键点亮LED灯

  • 测试开发板按键功能是否正常。
  • 硬件电路
    在这里插入图片描述
  • 分析原理图发现,当按键按下时KEY1/KEY2/KEY3/RESET为低电平,按键松开时为高电平。RESET键,可当普通按键使用。
  • 找到芯片上对应的脚位
    在这里插入图片描述
    在这里插入图片描述

设计要求

  • 4个按键对应点亮4个LED灯。

程序设计

  • 设计思想:由上可知,按键按下时,给到KEY1/KEY2/KEY3/RESET为低电平,而对LED电路(根据实验一)可知,LED高电平点亮,因此之间需要加入一个非门用来转换。
  • 将按键输入经过一个非门再经过2组D触发器,送到输出。
// project name : key_test
// Date : 2021/12/14
// By : Coucher
// Module function : The keys are used to control LED on or off.

module key_test(
	input		clk,		// system clock 50MHz
	input 
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值