自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

ciscomonkey的博客

QQ:1183699227,未经允许,不得转载抄袭

  • 博客(217)
  • 资源 (20)
  • 问答 (1)
  • 收藏
  • 关注

原创 python每天学习30分钟系列

文章目录[2021/6/17 每天学习python 30分钟 -了解python - 看懂#!/usr/bin/python](https://blog.csdn.net/ciscomonkey/article/details/117969489)本篇博客记录的是从2021/6/17起,博主将每天学习30分钟的python,6个月后,自己独立开发一个功能程序(数据分析类,文本处理类),全网见证。2021/6/17 每天学习python 30分钟 -了解python - 看懂#!/usr/bin/pyth

2021-06-17 00:10:15 617

原创 我的数字IC学习路线

文章目录引言1、准备入门IC的全局观念系列1.1 [准备入门IC的全局观念系列-上](https://blog.csdn.net/ciscomonkey/article/details/103717582)1.2 [准备入门IC的全局观念系列-中](https://blog.csdn.net/ciscomonkey/article/details/103732114)1.3 [准备入门IC的全局观........................................................

2020-03-28 20:08:06 28506 18

原创 STA命令系列 - create_clock

create_clock 的波形的顺序只能是先 rise接着是fall, 然后波形时间数值是增加的。也就是说时钟在10ns上升,在15下降。也就相当于是在0ns上升,5ns下降。因此,假如说我要定义一个时钟为0-5为低电平,5-10为高电平的波形。用来创建时钟,以及时钟的波形。

2022-10-27 15:34:13 1742 1

原创 STA系列 - 芯片的鲁棒性OCV之set_time_derate

如何选取path?

2022-10-20 00:43:33 3396

转载 STA系列 - 如何看懂时序报告

【ASIC】时序分析实例之如何看懂时序报告。

2022-10-19 23:05:11 3545

转载 STA系列 - 芯片的上电顺序经验

【皮特派】芯片的上电时序经验谈。

2022-10-18 00:16:10 1435

转载 [转]STA系列 - 特殊时序分析 异步复位信号的recovery和remove time

本篇文章记录的是 什么是recovery 和remove check。

2022-10-10 15:50:51 2255 1

原创 STA系列 - 特殊时序分析 across clock domain分析/multiple clocks分析

本篇文章介绍的是跨时钟分析和多时钟域分析本篇文章是视频笔记加上自己的感悟理解:10-特殊时序检查-多时钟。

2022-10-07 21:11:29 1133

原创 STA系列 - 特殊时序分析multicycle/half-cycle/false path

require time:就是时钟的采样check位置,比如说对于setup的check,那么就是check 一个period的位置。对于hold的check,就是check当前时刻,根据setup check/hold check, require time(需求时间)当然不同。

2022-10-06 22:32:46 2134

原创 走进Prime Time系列 - PT的Timing analysis techniques 04

本篇文章介绍的是如何去分析timing。

2022-09-13 21:29:55 616

原创 走进Prime Time系列 - PT的Timing exception 03

本篇文章,介绍的是timing exception。

2022-09-12 12:27:58 1667 1

原创 走进Prime Time系列 - PT的constraint - 02

如上图所示,我们需要设置的是1、时钟周期2、set_clock_uncertainty 包括了skew和jilter等不确定因素,同意放在uncertainty中3、set_clock_latency 指的是network delay,也就是时钟线net的延迟。4、set_input_delay/set_output_delay 也就是数据data到达端口的延迟delay,以及数据输出后,在端口外的延迟delay。5、set_false_path 指的是不用去分析的false path,比如异步时

2022-09-11 23:18:48 2489

原创 走进Prime Time系列 - 走进PT - 01

由于项目中需要我去接手STA方面的知识,因此需要赶紧补一下Primetime的知识,要把握好至少一天一讲的进度,力争一周的时间把STA的基础拿下。

2022-09-11 13:55:48 3107 5

原创 PDL语法介绍

PDL的注释是**#** 而不是双反斜杠,反斜杠会报错。

2022-07-04 20:22:06 1423

原创 Tessent Ijtag 第二章节 什么是ICL文件

参考ug P23-29请勿随意转载CSDN博主:ciscomonkey在我看来ICL instrument包括了TDR、SIB、TAP 这些,以及IP(EDT等),MBIST 等,只要在ICL里面用module描述的,就是instrument。下面是对一个叫tdr1的标准件进行描述如下图所示,橘色框里的都是keywords,这些key words让工具十分清楚TDR的行为,比如se拉高就会shift in。在ICL里面和PDL里面都没法定义时钟周期。上面是一个ICL instrument 描述的

2022-06-23 20:33:00 6129 5

原创 Tessent IJTAGug系列 -第一章 IJTAG介绍

本篇文章是博主阅读tessent IJTAG ug的笔记,如果有理解不正确的地方,还请各位大佬指出。IJTAG也称之为1687协议,而tessent的IJTAG ug是对IJTAG协议的提炼,因此读者不需要去全部阅读IJTAG的协议,只需要阅读tessent IJTAG ug即可。Tessent IJTAG主要由三部分组成:Hardware rule(硬件语言): 包括port的function,timing,connction rulesInstrument Connectivity Languag

2022-06-23 14:54:38 7360

原创 tessent 命令研究 - stil2mgc

-stil stil_filename该选项可以是spf文件(stil procedure file), 或者也可以是CTL文件。-TPF tpf_filename该选项指的是tessent procedure file,也就是说为stil2mgc 吐出来的procedure文件。-dofile dofile_name该选项为指定生成的dofile文件,如果没有指定,将使用stil文件名,后缀为dof-flex_dofile指的是生成用于Flex(美国伟创力公司)的dofile文件-alias Mi

2022-06-16 18:06:37 2222 1

原创 如何搭建DC环境验证自己的一些猜想

【问题背景】有时候,我们需要验证自己的一些对DC或者对tessent的想法,我通常会手写一个最简单的netlist,首先需要在本子上画出电路结构图,然后,找到一个项目的网表,然后找一些SDFF ,AND ,OR等一些标准的std cell。 然后像乐高积木一样去手写netlist。这个思维非常有利于你去验证自己的猜想,真正理解userguide里面的说法。但是,对于一些大公司来说,这个项目的library,很多时候都被封装起来了,所以在link的时候,导致不能unsolve。【解决办法】:首先在项目

2022-06-14 11:04:00 694

原创 Tessent Atpg系列 第七章 Running ATPG Patterns

本篇文章是tessent的第七章, Running ATPG pattern,本文是对该ug的理解,并非对其完全的翻译。使用Tessent的 ATPG context 有两种Flow,一种是由Tessent scan 串完scan后,一种不是由Tessent scan串完,比如用DC串完后,然后使用Tessent 完成ATPG。...

2022-06-12 00:38:36 875

原创 Tessent Atpg系列 第八章 Test Pattern Generation - ATPG Tool Pattern Types(sequential pattern概念)

默认情况下工具是会先生成basic scan pattern,basic scan pattern是将一组values 给所有的scan cells( load in),以及 primary PI,另外再所有的primary output 以及scan cell上进行观察(measure po), 工具会使用默认的scan clock 来抓取数据到observable scan cells(capture_clock_on,capture_clock_off) . 每一只pattern都是独立的。....

2022-06-10 01:19:31 3285

原创 5.1 Tessent Atpg系列 第八章 Test Pattern Generation - Verify Test Pattern

为什么要做基于timing的仿真,意义在于可以比较ATPG工具和simulator工具的差异性。因为时序的差异导致不同的结果。首先你需要通过write_patern 这句cmd来写出pattern,默认是parallel 模式,除非你用-serial 指定写出serial, 因为serial 文件非常大,所以你可以使用-sample 开关写出部分pattern即可,工具会根据每一种pattern 类型都会至少保存一只。另外,你也可以使用-start -end 开关来减少文件大小,但是这样的截取,是不可靠的

2022-06-09 01:16:27 3819

原创 Tessent Atpg系列 第七章 Running ATPG Patterns -- 如何书写proc文件

本篇文章是记录Tessent scan ATPG系列本文并非是对userguide的完全翻译,而是自己对userguide的理解,此外,有部分比较深入的内容可能需要后续实验验证。本系列并不对tessent scan做过多描述。请勿随意转载:CSDN 博主: ciscomonkey一种是在使用tessent scan之后使用ATPG另一种是使用第三方工具,如snps的DFTC之后使用tessent的ATPG。这里我们仅仅介绍without tessent scan之后tessent ATPG:对于t

2022-06-06 21:13:01 3972 3

原创 EDT技术 ug - 第二章节 The Compressed Pattern Flows

文章目录引言Compressed Pattern Flows 介绍引言本篇文章介绍的是tessent EDT 的第二章节,本文并非对ug的原版翻译,而是加上了自己的理解。请勿随意转载CSDN 博主: ciscomonkeyCompressed Pattern Flows 介绍对于uncompressed ATPG 来说,是没有差异的,一般都是采用DC综合,然后tessent完成scan insertion, 然后tessent打ATPG。但是对于compressed Pattern Flow来

2022-05-25 00:33:05 1266

原创 EDT技术 ug - 第四章节Creation of the EDT Logic (持续更新)

文章目录Compression Analysisanalyze_compressionPreparetion For EDT Logic Creation本篇文章是对EDT logic的creation的介绍,本文并非完全是对tessent Kompression 文档的完全翻译,而是融入了自己的理解。不得随意转载CSDN博主:ciscomonkeyCompression Analysis你可以通过优化更改 channel ratio(scan channels的数目以及scan chain的长短

2022-05-25 00:29:18 3392 1

原创 如何阅读tessent的文档建议

文章目录引言文章表示的大小写符号迷惑引言Tessent的文档可能并没有Synopsys的清晰,里面的单词其实也用得有些复杂,本博主综合自己目前阅读tessent的感受,将一些经验积累在这篇文章。希望有利于一些已经入门,但还没有进阶的新手参考学习。请勿随意转载【原创:CSDN:Ciscomonkey】文章表示的大小写符号迷惑如上图所示,我们看到上面的命令中的Usage ,选项为OFf ,这中OF大写,后面又跟一个小写f的方式,会让新手觉得非常困惑。其实,我们很多option 或者说参数都可以不用

2022-05-24 15:27:35 1240

原创 EDT技术 ug - 第一章节 Getting Start

文章目录本系列介绍的是Tessent的EDT(Embedded Deterministic Testing)技术。参考为EDT tessent的 TestCompress 文档。本系列是对ug的个人理解和知识要点笔记,并非完全翻译。EDT作为一种测试技术,在Tessent TestKompress 工具中使用,注意EDT不是工具,而是一种测试手段。EDT形成的internal scan chain 对于tester来讲,就好像看到的是external chain一样。如下图所示,对于tester

2022-05-16 22:50:50 8231 6

原创 test pattern和simulated pattern有啥区别呢

网友答复:atpg工具在出pattern的时候 会先去产生一些pattern仿真,即simulation pattern;看看这些pattern能不能cover住faults,如果可以的,就留下,即test pattern,不能的就自动舍弃。所以simulation pattern的数量一般都会大于test pattern的数量。...

2022-05-16 21:46:02 1032 1

原创 Tessent shell T系列 - Scan chain Trace Rules经验积累

文章目录T3本篇文章积累的是scan chain trace rules 的积累经验。也就是T系列的ErrorRules Checker 使用test procedure file的信息,Rules Checker 会identify scan cell以及与scan cell相关的memory elements,之后会将这些 scannable memmoryXD elements  分类为:MASTER,SLAVE,SHADOWD,COPY,EXTRA。DT3contexts suppor

2022-05-16 00:52:29 1030 6

原创 python 如何在linux进行调试

文章目录如何在linux进行调试python,很多公司的是服务器,而服务器上面的python,打开只有shell 模式,如果你要观察某个变量是不方便的。最方便的方式是:> python -m pdb debug.py一些常用指令:h(elp) [comman] #打印可用指令及帮助信息r(eturn) #运行代码直到下一个断点或当前函数返回b(reak) [[filename:]lineno | function[, condition]] #指定文件某行或函数体来设置断点

2022-01-07 22:05:34 5213

转载 [python] import后的模块是否能del移除掉

很多时候,我们在debug程序,可能是希望import 一个模块进去,这个时候,又想调试一下,于是在shell还没有关掉的情况下,更改了模块的内容,不管我重新再import,发现更改后的内容并没有生效,即使你删除了当前路径下的一个临时文件pyc也没有用。使用del也不能真正的删除。所以,需要重新开启shell。参考文章: Python导入模块能否取消导入?...

2022-01-06 16:04:50 1446

原创 [python] shell模式下面写for循环

很多时候,我们需要使用shell模式进行一步一步的参考别人的程序,如果是有pycharm,还比较方便,但是假如只有linux平台,那么对于这种交互式的shell,又如何写for循环然后进行调试呢?网上有很多人说用for i in list;do执行语句done经过实验,是不行的,不知道是不是我立即错误??下面是直接使用:以下图片,引用:https://www.pianshen.com/article/5001623682/最后得到了验证,是可以的。...

2022-01-06 14:49:56 1585

原创 [python]开发IC utility 一些常用的方法和函数和语法规则

文章目录字符串的replace字符串的split字符串的strip循环for in判断语句中的and not本篇博客将根据IC的实际场景应用来记录一些常用的方法和函数字符串的replacecsv_file = occ_file_path.replace(".xls",".csv")如上图所示,在IC utillity的开发中,我们可能会用到将获取到的xls的path转换为csv的path,因此,当occ_file_path 获取到了occ的excel之后,我们可以获取到当前路径下的csv的path

2022-01-05 21:23:45 604

原创 [Python] sys.argv[] 用法

本篇文章介绍的是sys.argv[]的用法:首先看到sys应该知道是调用的系统函数。sys.argv是从外部终端获取参数的一个桥梁,获取到的结果为list形式。第一个获取的参数就是程序本身如下图所示,是一个test.py的程序。而获取到终端上的第一个默认参数就是该文件名。如果我们要从终端获取多个参数,如下图所示,可以看到打印输出有4个元素。参考文章:1...

2022-01-05 20:27:12 314

转载 [Python]理解 if __name__ == ‘__main__‘

文章目录本篇文章,参考并改编了https://www.jianshu.com/p/989953d468d9在很多utility程序中,我们会经常看到这样一句:if __neme__ == "__main__" :我们都只到python编写的文件可以直接运行,也可以当做模块来引用,不管哪一种方式,最顶层(以缩进方式来划分),都会被执行。作用:实际项目中,可能我们希望在引用这个module模块的时候,并不希望在导入的时候去执行顶层的code。if __neme__ == "__main__"

2022-01-05 19:53:38 265

原创 python 2022/1/2

类和对象定义:具有相同属性和功能的一类事物— 抽象概念对象:具体的表现类:人:性别、肤色、年龄。。。。 功能: 跳、蹦、学习等车:颜色、方向盘、车轮 功能:跑猫:颜色、名字、毛发颜色 功能:跳等等类属性的定义和使用:类属性:写在类中,但是在方法外。分为了:公有和私有这两种。使用两个下划线,只能在class里的方法里面调用。构造函数:构造函数,又称为构造器,当你创建实例化对象的时候,它会自动运行。如下图所示:当前面使用两个下划线+单词+后面使用两个下划线,代表prede

2022-01-03 15:30:39 824

原创 python 2021/12/31

列表,用【】列表的操作:切片:list[start????step]取前舍后正序和反序打印list里面内容,注意取前舍后的原则。字典:映射关系 key value无需,没有下标key,不可以变化,值可以变化key唯一字典用花括号 {“key1”:int,“key2”: 2 }来定义。字典不支持拼接元组:顺序组合:正序和反序,不可以修改。定义好元组后,不可以修改特点: (1,2,3)用( , , ,)存放数据、字典、list等tuple — 元组有序数据,也就是有

2021-12-31 15:54:44 536

原创 在TMAX simulation里什么是 “unload 2“ ?

文章目录什么是unload 2?其实做DFT的人,在sim的时候都会见到这个log信息,我之前就产生过疑惑了,只是在周围同事,也没人思考这种问题。正如下图所示:在最后一只pattern pattern 4的时候开始出现unload 2(T=5400ns V=55)这是指的是这只pattern调用了多次 load_unload我们可以看看如下图所示的pattern:如下图所示,在最后一支pattern 4中,分为了两部分:一部分是pattern 4 另一部分是 end 4 unload可以看

2021-10-17 15:54:55 436

原创 TCL with SNPS file exists&file rename/copy & redirect & lsearch & split

文章目录file exitsfile rename,copyredirectlsearchsplit本篇文章继续介绍一些SNPS相关的tcl用法file exitsfile exists适用于检测文件是否存在的,如下图所示,如果存在dc_scan_stitch_xg.tcl的脚本,那么返回为1,没有的话就返回为0file rename,copyfile rename 是对文件重新命名,如果有相同的名字,那么需要使用-force选项,就会强制命名,并且会覆盖掉file copy 是对文件进行c

2021-08-22 17:34:55 2140

原创 TCL with SNPS llength&lappend&get_cells&get_ports

文章目录llengthlappendget_ports&report_portget_cells本篇博客继续介绍SNPS相关的TCL编程llengthllength是llist的一个操作,属于通用的tcl语法如下图所示,llength返回的是列表的元素数量,如下图所示:lappendlappend是在原来list里面新增列表,如下图所示,如果没有指定已经存在的列表的名字,tool会用第一个元素来创建list作为名字get_ports&report_port从当前的desi

2021-08-15 14:33:02 1081

原创 TCL with SNPS get_attributes&get_lib_attribute&list_attributs&report_attribute

文章目录get_attribute获取lib_cell属性值get_lib_attributelist_attributsreport_attribute本篇文章介绍的是SNPS关于attrubites相关的一些常用的用法。get_attribute获取lib_cell属性值返回由design或者library组成的list 的属性值实际case如下图所示,可以看出get_attributes是获取的lib的属性值信息下面是获取面积信息get_lib_attribute返回一组librar

2021-08-14 21:08:26 1546

封装库下载方法.pdf

该文档是博主亲自编辑的,介绍了Altium design、cadence等厂商封装库的下载方法,几乎涵盖了世界上所有你想要的芯片封装以及3D模型,并且每个月封装库以1000以上的速度增加

2020-05-16

AD9910官方软件.rar

该软件为AD9910的官方寄存器配置软件,无毒!可以轻松获得寄存器的配置,从而辅助verilog的AD9910开发。具体文章里面有介绍:https://blog.csdn.net/ciscomonkey/article/details/105877392

2020-05-12

AD9910高清晰中文资料.rar

本资源包含了DDS的详细理论介绍以及AD9910的高清晰的中文手册和英文手册,以及使用DDS专用集成芯片的注意事项和解答。详情,可参看https://blog.csdn.net/ciscomonkey/article/details/105877392

2020-05-12

libpng12-0_1.2.54-1ubuntu1.1_amd64.deb

该文件可以用于解决启动verdi后的报错,verdi/platform/LINUXAMD64/bin/ Novas: error while loading shared libraries: libpng12.so.0: cannot open shared object file: No such file or directory 注意适用于64bitubuntu

2020-03-27

压缩工具linux.rar

该资源是压缩和解压的命令工具rar,为64位的,至于如何使用在我的blog一文学懂Linux基本操作中有讲解

2020-03-24

FIFO_top.rar

该工程是FIFO的例子,请配合我的blog文档说明来看,讲解非常细致。

2019-08-20

CP_3_1_alt_calculate_mult.rar

本工程包含了乘法器IP核实例,另外包含了一份官方的IP核使用文档,至于如何使用,我已经写得很清楚了https://blog.csdn.net/ciscomonkey/article/details/93746632

2019-06-30

DDS_top.rar

本程序是XILINX的DDS例程,软件平台是ISE 14.7 关于DDS的介绍我已经在https://blog.csdn.net/ciscomonkey/article/details/91408095说明得很清楚,可以说比网上的任何一篇DDS的blog都说得清楚。

2019-06-25

RAM_top.rar

此程序是XILINX ISE 14.7 RAM IP核的调用,关于如何使用,我已经写的很清楚: https://blog.csdn.net/ciscomonkey/article/details/90453592

2019-05-24

成功调用ise和vivado的modelsim.ini.rar

由于同时将ise 14.7的库和vivado 2016.4的库加入modelsim可能会有问题,这里给出我试出来的成功版的ini。使用介绍:https://blog.csdn.net/ciscomonkey/article/details/90375540

2019-05-20

Spartan-6 FPGA SelectIO Resources User Guide (UG381).pdf

本资源是spartan6 的IO说明文档,包括如何使用oddr2等原语

2019-05-19

Intel quartus时序约束最新文档

本文档是官方的时序约束文档,本blog对该文档进行了分析解释,方便方便大家对照学习。

2019-03-03

DAC8532_SPI_双通道verilog控制

本程序由本人亲自编译,并用signaltap测试通过,时序严谨,全部参数化,方便移植,对于初学者和工程师都具有较强的参考价值。

2019-02-28

alteral库文件

alteral的库文件,用于modelsim的独立仿真,在相关文档中已经有说明,如何使用。在使用modelsim的时候,需要将其编译入库。

2019-02-19

vim的实用技巧

vim的全新实用技巧,高清第二版,不要50积分!只要5积分,高清的经典最新国外书籍

2019-01-03

MSDN中文帮助手册

本手册是真正的中文开发手册,来自于官网,适合初学者,开发人员查询使用

2019-01-01

NIOS ii 官方函数手册(3个文档)

nios II 的官方函数手册,帮助查询,一共包括了3个文档

2018-12-29

quartus signal-tap II

应用quartus调试signal tap,按键触发和复位触发signaltapII

2018-11-22

ADC串行_TLC549verilig_QUARTUS

状态机描述ACD_TLC549 非常工整的状态机格式,本人不太喜欢网上培训机构的状态机风格,以上是自己的状态机风格

2018-11-08

FPGA_veriog_Quartus_DDS

用quartus 13.1 写的代码,DDS信号发生器模块,里面有详细注释,原理解释。

2018-11-04

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除