第四章 Verilog 逻辑设计介绍

第四章 Verilog 逻辑设计介绍

4.1 组合逻辑的结构化模型

介绍了verilog 原语,即一些基本的逻辑门,例化时不必写例华名;
介绍了verilog module 的结构;

4.2 逻辑系统的验证和测试方法

四值逻辑:0/1/x/z,
x: 例如当一个wire 的变量被两个相反的信号驱动时,仿真器就无法判断是0/1,就出现不定态。
z: 高阻态,输入悬空就会出现这种状态。

testbench: 激励发生器;UUT;响应监测;

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值