quartus常用tcl命令简介

打开TCL控制台

对于低版本quartus,从如下路径打开TCL控制台。

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-VTwqVsg7-1646447642180)(./pic/3.png)]

对于高版本的quartus,可以直接从开始位置打开。

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-2jIaQTqT-1646447642181)(./pic/2.png)]

TCL帮助

在控制台输入如下命令

quartus_sh --qhelp

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-DspdSbXR-1646447642182)(./pic/1.png)]

左侧command-line executables下是,quartus支持的各种命令行可执行程序。

命令行执行脚本-交互模式

在Tcl shell 运行可执行成加-s ,例如:

quartus_stp -s或quartus_cdb -s

使用下面命令运行Tcl脚本。

source <script name>

可以将在quartus_stp程序下执行的多种命令写入脚本,运行一次脚本,完成复杂命令的执行。

例程

使用脚本进行逻辑锁定,逻辑模块大小4x4,新建abc.tcl脚本,内容如下:

package require ::quartus::project
if {[project_exists abc]} {
		project_open -revision abc abc
	} else {
		project_new -revision abc abc
		
proc set_loc { n1 n2 x y  } {		
set_instance_assignment -name PLACE_REGION "X[expr $x-3] Y$y X$x Y[expr $y+3]" -to $n1
set_instance_assignment -name RESERVE_PLACE_REGION ON -to $n1
set_instance_assignment -name CORE_ONLY_PLACE_REGION ON -to $n1
set_instance_assignment -name REGION_NAME $n1 -to $n1
}

其中n1为模块一级名称,n2为二级名称,x,y为模块在Chip Planner中的位置。

执行流程如下:

方法一:

cd E:/project
quartus_cdb -s 
source abc.tcl
set_loc name1 name2 100 105

方法二:

cd E:/project
quartus_cdb -t abc.tcl name1 name2 100 105

全编译

quartus_sh --flow compile 工程名

归档和恢复工程

quartus_sh --archive 工程名  --output 文件名    归档
quartus_sh --restore 工程名      恢复
quartus_sh --archive abc -output abc2022
quartus_sh --restore abc2022


  • 1
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

硬码农二毛哥

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值