秒表数字钟verilog电子钟跑表DE1开发板数字时钟仿真代码

名称:秒表数字钟verilog电子钟跑表DE1开发板数字时钟仿真

软件:Quartus II

语言:Verilog

代码功能:

1、设计数字钟功能,可以通过数码管显示时分秒

2、可以通过按键修改小时、分钟、秒钟

3、具有秒表功能(跑表)

4、可以通过按键控制秒表启动、暂停、复位

5、数字钟和秒表模式使用按键切换

本代码已在开发板验证,开发板如下,其他开发板可以修改管脚适配:

DE1.png

FPGA代码Verilog/VHDL代码资源下载:www.hdlcode.com

代码下载:

秒表数字钟verilog电子钟跑表DE1开发板数字时钟仿真名称:秒表数字钟verilog电子钟跑表DE1开发板数字时钟仿真(代码在文末下载)软件:Quartus II语言:Verilog代码功能:1、设计数字钟功能,可以通过数码管显示时分秒2、可以通过按键修改小时、分钟、秒钟3、具有秒表功能(跑表)4、可以通过按键控制秒表启动、暂停、复位5、数字钟和秒表模式使用按键切换本代码已在开发板验证,开发板如下,其他开发板可以修改管脚适配:FPGA代码Veriloicon-default.png?t=N7T8http://www.hdlcode.com/index.php?m=home&c=View&a=index&aid=256

部分代码展示:

module Digital_clock(
input clk_50M,
input key_0,//模式设置按键--4'd0:计时,4'd1:跑表
input key_1,//设置修改/跑表启动
input key_2,//修改确认/跑表暂停
input key_3,//修改时分秒/跑表复位
output [3:0] led_mode,//led显示当前模式
output  [7:0] HEX0,//数码管-低亮
output  [7:0] HEX1,//数码管-低亮
output  [7:0] HEX2,//数码管-低亮
output  [7:0] HEX3 //数码管-低亮
);
wire [3:0] state_mode;//当前模式,4'd0:计时,4'd1:跑表
wire [7:0] hour_time;//时
wire [7:0] minute_time;//分
wire [7:0] second_time;//秒
wire [15:0] stopwatch_Millisecond;//秒
wire key_0_negedge;
wire key_1_negedge;
wire key_2_negedge;
wire key_3_negedge;
wire clk_1Hz;
wire clk_10Hz;
fenping fenping_Hz(
. clk_50M(clk_50M),
. clk_1Hz(clk_1Hz),
. clk_10Hz(clk_10Hz)
);
key_jitter key_0_jitter(
. clkin(clk_50M),     
. key_in(key_0),
. key_posedge(),
. key_negedge(key_0_negedge),
. key_value()
);
key_jitter key_1_jitter(
. clkin(clk_50M),     
. key_in(key_1),
. key_posedge(),
. key_negedge(key_1_negedge),
. key_value()
);
key_jitter key_2_jitter(
. clkin(clk_50M),     
. key_in(key_2),
. key_posedge(),
. key_negedge(key_2_negedge),
. key_value()
);
key_jitter key_3_jitter(
. clkin(clk_50M),     
. key_in(key_3),
. key_posedge(),
. key_negedge(key_3_negedge),
. key_value()
);
set_mode i_set_mode(
. clk_50M(clk_50M),
. set_mode_key(key_0_negedge),
. led_mode(led_mode),//led显示当前模式
. state_mode(state_mode)//当前模式
);
//计时
jishi i_jishi(
. clk_50M(clk_50M),
. clk_1Hz(clk_1Hz),
. state_mode(state_mode),//当前模式
. set_time_key(key_1_negedge),//设置时间
. confirm_key(key_2_negedge),//确认
. change_time_key(key_3_negedge),//设置时分秒
. hour_time(hour_time),//时
. minute_time(minute_time),//分
. second_time(second_time)//秒
);
//秒表
stopwatch i_stopwatch(
. clk_50M(clk_50M),
. clk_10Hz(clk_10Hz),//10Hz--对应100ms
. state_mode(state_mode),//当前模式
. start_key(key_1_negedge),//启动
. stop_key(key_2_negedge),//暂停
. reset_key(key_3_negedge),//复位
. stopwatch_Millisecond(stopwatch_Millisecond)//秒
);
display i_display(
. clk(clk_50M),
. state_mode(state_mode),//当前模式
. stopwatch_Millisecond(stopwatch_Millisecond),//秒
. hour_time(hour_time),//时
. minute_time(minute_time),//分
. second_time(second_time),//秒
. HEX0(HEX0),//数码管-低亮
. HEX1(HEX1),//数码管-低亮
. HEX2(HEX2),//数码管-低亮
. HEX3(HEX3) //数码管-低亮
);
endmodule

设计文档:

1. 工程文件

2. 程序文件

3. 程序编译

4. RTL图

5. 管脚分配

  • 1
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值