两种不同方式分频器设计Verilog代码ISE仿真

名称:两种不同方式分频器设计Verilog代码ISE仿真(文末获取)

软件:ISE

语言:Verilog

代码功能:

两种不同方式分频器设计

1. 输入为100MHz,要求输出为50kHz

需要将上述程序在不改变回路图的情况下用两种方式改写

换一种语法来写一个

然后是输入1MHz输出100kHz 用10分周而不是上面的5×2的形式

1. 输入为100MHz,要求输出为50kHz

写法1代码

Testbench

仿真图

写法2代码

写法3代码

2. 输入1MHz输出100kHz 用10分周

代码

Testbech

仿真图

部分代码展示:

`timescale 1ns / 1ps
//
// Company: 
// Engineer: 
// 
// Create Date:    22:38:13 07/16/2020 
// Design Name: 
// Module Name:    Gen100KHz 
// Project Name: 
// Target Devices: 
// Tool versions: 
// Description: 
//
// Dependencies: 
//
// Revision: 
// Revision 0.01 - File Created
// Additional Comments: 
//
//
module Gen100kHz (
input RST, 
input CK, 
output C100kHz
); 
reg [9:0]TstCTQ; 
reg C100kQ; 
/* Counter For Frequencu Overflow Check Logic Part */ 
always @(posedge CK or negedge RST) begin 
if(RST==0)begin
TstCTQ<=10'd0;
end
else
if(TstCTQ < 10'd4) begin
TstCTQ <= TstCTQ + 10'd1; 
end else begin 
TstCTQ<=10'd0;
end 
end
源代码

点击下方的公众号卡片获取

一种基于FPGA的任意分频器设计与实现,可以通过利用FPGA的可编程性和灵活性来实现任意的分频比。FPGA(Field-Programmable Gate Array)是一种可编程逻辑设备,可以通过重新配置其内部的逻辑门阵列和时序元件来实现不同的功能。 首先,我们需要确定所需的分频比,并计算出分频比的细分数,也就是将输入时钟信号分成多少份。接下来,我们通过FPGA的时钟管理资源来生成所需的分频时钟信号。FPGA中的时钟管理资源通常包括时钟分配器和锁相环(Phase-Locked Loop, PLL)等。其中,时钟分配器可以将输入时钟信号分配到不同的逻辑模块,而PLL可以通过调节其内部的控制参数来实现不同的倍频和分频功能。 在设计中,我们可以使用FPGA开发工具(如Xilinx ISE或Vivado)来进行设计仿真。首先,我们需要在FPGA开发工具中创建一个新的项目,并添加所需的时钟管理资源和逻辑模块。然后,我们可以使用硬件描述语言(如VHDL或Verilog)来实现分频器的功能。在实现时,我们需要根据所需的分频比和细分数,利用逻辑门、触发器和时序元件等基本的FPGA元素来设计一个适合的电路。最后,我们可以使用仿真工具来验证设计的正确性。 实现后,我们需要将设计烧录到FPGA芯片中。通过连接FPGA芯片的开发板和计算机,我们可以使用烧录工具将设计下载到FPGA芯片中,并在开发板上测试分频器的功能。在测试中,我们可以输入一个特定的时钟信号,并观察输出的分频时钟信号是否符合我们所设计的分频比。 总之,基于FPGA的任意分频器设计与实现利用了FPGA的可编程性和灵活性,可以根据实际需求实现不同的分频比。通过适当的设计和验证,我们可以实现一个满足要求的任意分频器
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值