#systemverilog# $strobe,$monitor,$display 的使用

Verilog使用$display,$strobe系统任务来打印log。

  • 用$display()系统任务来显示当前变量的值。
  • 用$strobe()系统任务来显示用非阻塞赋值的变量值。
  • 用$monitor()监控和输出参数列表中的表达式或变量值。

$display,$strobe()

<3>$monitor() 系统函数

在SV中$monitor的使用格式与$display相同,语义上有所不同,$display是将双引号中的参数列表内容打印出来,而$monitor是在参数列表发生改变的时候,将参数列表内容打印出来。当启动一个带有一个或多个参数的$monitor任务时,仿真器则建立一个处理机制,使得每当参数列表中变量或表达式的值发生变化时,整个参数列表中变量或表达式的值都将输出显示。

例如:

$monitor($time,,"rxd=%b txd=%b",rxd,txd)。括号内的变量均为参数列表。

在多模块调试的情况下,许多模块中都调用了$monitor,因为任何时刻只能有一个$monitor起作用,因此需配合$monitoron与$monitoroff使用,把需要监视的模块用$monitoron打开,在监视完毕后及时用$monitoroff关闭,以便把$monitor 让给其他模块使用。$monitor与$display的不同处还在于$monitor往往在initial块中调用,只要不调用$monitoroff,$monitor便不间断地对所设定的信号进行监视。

1.$monitor 进程同一时间有且仅有一个,若多次调用$monitor,新进程会代替以前的monitor进程。

2.$fmonitor可以同时存在任意个。

3.一般不用$monitor系统函数。

4.使用$monitor时,要一次把所有观测的信号作为参数在同一个$monitor用观测信号变化状态。

例子:

 

 

  • 0
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值