fpga实操训练(利用fpga实现pwm)

本文介绍了一种使用FPGA通过Verilog实现PWM的方法,详细解析了Verilog代码,解释了如何通过控制start和stop信号来调整占空比,并探讨了数字8590和429496730的含义,以及它们在确定PWM周期和占空比中的作用。通过调整ratio可以改变蜂鸣器的响度,提供了实际操作和验证的思路。
摘要由CSDN通过智能技术生成

【 声明:版权所有,欢迎转载,请勿用于商业用途。 联系信箱:feixiaoxing @163.com】

        pwm,其实就是方波。它的本质就是通过方波中占空比的调节,实现对外部设备的控制。简单如台灯,复杂如电机都是这么做的。fpga输入的时钟信号是50M,每个时钟信号中高低电平的比率是50%对50%。那方波是什么样的呢?它的控制频率可能只有100,高低电平是的比率很有可能是10%对90%,那么这又该如何实现呢?

module pwm_test(clk, rst, pwm);

input clk;
input rst;
output pwm;


wire clk;
wire rst;
reg pwm;

reg[31:0] start;
reg[31:0] stop;

// pwm determinate the basic frequency of pwm

always@(posedge clk or negedge rst)
	if(!rst)
		start <= 32'd0;
	else
		start <= start + 32'd8590;
		
always@(posedge clk or negedge rst)
	if(!rst)
		stop <= 32'd429_496_730;
	else
		stop <= stop;

always@(posedge clk or neged
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

嵌入式-老费

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值