FPGA_Verilog_PWM

前言

FPGA_Quartus 18.1环境搭建
上节记录了Quartus的环境搭建, 并点了个灯, 本节记录一下PWM, 输出1kHz, 50%占空比的方波.

新建工程

打开Quartus, 步骤如下:

  • File -> New Project Wizard…
  • Next
  • 选择工程目录, 填入工程名.
  • Empty Project
  • Add Files不设置, 直接点Next.
  • 器件选择 EP4CE6F17C8.
  • EDA Tool Setting暂不动, 点击Next.
  • Summary中点Finish.

Verilog代码

File -> New -> 选择Verilog HDL File, 填入以下代码:

module pwm_test0(input sys_clk,input sys_rst_n,output reg pwm);

	parameter period = 50000;
	parameter h_time = 25000;

	reg [31:0] CNT;

	always @(posedge sys_clk) begin
		if(!sys_rst_n)
			CNT <= 0;
		else if(CNT >= period - 1 )
			CNT <= 0;
		else
			CNT <= CNT + 1;
	end

	always @ (posedge sys_clk) begin
		if(!sys_rst_n)
			pwm <= 1;
		else begin
			if(CNT <= h_time - 1)
				pwm <= 1;
			else
				pwm <= 0;
		 end
	end

endmodule 

50M系统时钟输入, 周期(period)是50000/50M=0.001s, 也就是1kHz, 占空比 h_time/period = 25000/50000 = 50%.
点击Pin Planner快捷图标, 分配引脚:

名称引脚说明
sys_clkE150M有源晶振
sys_rst_nM1复位, 低有效
pwmE10pwm输出引脚

引脚分配完后, 点击Start Compilation快捷图标编译.

下载验证

点击Programmer快捷图标, 点击Start下载.

下载完毕, 拿示波器连上E10引脚, 可以看到波形:
在这里插入图片描述
符合预期.

微信公众号

欢迎扫描二维码关注本人微信公众号, 及时获取或者发送给我最新消息:
在这里插入图片描述

  • 6
    点赞
  • 25
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值