Verilog HDL的编译预处理语句

一、宏定义'define语句

包括宏定义指令包括:'define和'undef。

声明语法格式:'define

在代码中的应用格式:'macro_name

 

和parameter的区别:

  • 作用域不同:parameter作用于声明的那个文件。而'define的作用范围从编译器读到define语句开始,到'undef语句结束。可以超过单个文件的边界时仍然有效。
  • parameter可以作为模块例化时的参数传递,实现参数化调用,而'define无此功能。
  • 'define语句可以定义表达式,而parameter只能定义变量。

二、条件编译命令'if语句

条件编译指令包括:'ifdef、'else和'endif。

语法格式:
'ifdef MacroName

    语句块1;

'else

    语句块2;

'endif

三、文件包含'include语句

'include编译器指令用于嵌入文件的内容。如果文件A包含了文件B和文件C,则文件C可以直接使用文件B的内容,同样文件B也可以使用文件C的内容。

四、时间尺度'timescale语句

该指令用于定义时延的单位和精度。

语法格式:'timescale time_unit / time_precision

  • 1
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值