FPGA几种常见设计思想,在编程的过程中会慢慢体会到

1乒乓操作:“乒乓操作”是一个常常应用于数据流控制的处理技巧,典型的乒乓操作方法如图 所示。

 

串并转换

 p2s:核心算法:if(en)

Buffer=datain;

else

buffer= {buffer[6:0],1’b0} //很多地方直接写成{buffer,1'b0} 编译虽然能够通过但是会产生警告

Dataout=buffer[7];

s2p:  

buffer= {buffer[6:0],datain}

    Dataout = en?buffer:8’b0; 

流水线

 

数据接口的同步方法

1.增加同步信号与存储模块

a) 同步使能

b) 增加缓冲

2.添加约束

a) 提高工作频率

b) 时序约束

 

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值