vcs+verdi,以及Makefile注意点

Makefile.命令行之前是以Tab开头的不然会报错
gvim里面强制输入tab,使用Ctr+v+i
直接使用tab键可能输入不成功。注释用#
下面是makefile内容
在这里插入图片描述L8:可选debug/debug_pp/debug_pp,使能ucli命令
L9:传递参数给VCS的Linker,与L10-12配合使用
L10:指示需要加载动态库,如libsscore_vcs201209.so
L31:加载表格文件,novas.tab
L31:加载静态库,pli.a
其余语句与comp与verdi无关(-P这句可能报错No TopModule/Entity supplied,可以不要)
run中:
L19:ucli的输入文件(-i)为dump_fsdb_vcs.tcl
L20:命令行参数autoflush,一边仿真一边dump波形
若有UVM相关可以照下面写:
在这里插入图片描述其中-P(这句不加也可以,加上可能报错No TopModule/Entity supplied)和dbg语句可以在上面这张图片上自己加上。

其中dump_fsdb_vcs.tcl内容是:
在这里插入图片描述L1:TCL脚本引用环境变量(Makefile中通过export定义)
L2:设置 波形文件名,名称受环境变量env(demo_name)控制
L3:设置波形顶层和层次,表示将test作为顶层,Dump所有层次
L4: 设置完Dump信息,启动仿真(此时仿真器被ucli控制)
0表示dump所有层次,非0表示Dump最大层次
tb_top.f是源代码路径:
在这里插入图片描述

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值