Verdi 不加载filelist,load design方法

原文链接:https://blog.csdn.net/zhajio/article/details/81203945

在这里插入图片描述
在这里插入图片描述
仿真后调试流程
要自动加载Unified Compile编译的KDB,请使用以下命令
Verdi命令行选项:
-simflow
使Verdi及其实用程序能够使用来自synopsys_sim.setup的库映射并从KDB库路径导入设计。
-simBin <simv_path>
指定simv可执行文件的路径。 这确保了VCS和Verdi使用来自synopsys_sim.setup文件的相同数据。
例如:
%> verdi -simflow -simBin [<simv_path>]
//将FSDB文件导入Verdi
%> verdi -simflow -simBin [<simv_path>] -ssf novas.fsdb
指定simv的路径后,您可以使用Verdi nTrace中的Tools -> Run Simulation
菜单命令,直接启动Verdi交互式仿真调试模式。

-simdir
如果要从与VCS工作目录不同的工作目录调用Verdi,请指定库目录的路径。
————————————————
版权声明:本文为CSDN博主「XtremeDV」的原创文章,遵循CC 4.0 BY-SA版权协议,转载请附上原文出处链接及本声明。
原文链接:https://blog.csdn.net/zhajio/article/details/81203945

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值