Verilog在线编程练习

Verilog在线编程练习

门电路

与门

module top_module( 
    input a, 
    input b, 
    output out );
	assign out=a&b;
endmodule

结果
在这里插入图片描述
非门

module top_module( input in, output out );
	assign out=~in;
endmodule

结果
在这里插入图片描述
或非门

module top_module( 
    input a, 
    input b, 
    output out );
	assign out=~(a|b);
endmodule

结果
在这里插入图片描述

组合电路

全加器

module top_module( 
    input a, b, cin,
    output cout, sum );
    assign{cout,sum} = a + b + cin;
endmodule

结果
在这里插入图片描述
2对1多路复用器

module top_module( 
    input a, b, sel,
    output out ); 
     assign out = (sel) ? b : a;
endmodule

在这里插入图片描述
卡诺地图

module top_module (
    input [4:1] x, 
    output f );
    assign f = (~x[1] & x[3]) | (x[1] & x[2] & ~x[3]);
endmodule

时序电路

D触发器

module top_module (
    input clk,   
    input d,
    output reg q );
    always@(posedge clk) begin
        q <= d;
    end
endmodule

在这里插入图片描述
D锁存器

module top_module (
    input d, 
    input ena,
    output q);
    always@(*)begin
        if(ena)begin
            q<=d;
        end
    end
endmodule

1~12计数器

module top_module (
    input clk,
    input reset,
    input enable,
    output [3:0] Q,
    output c_enable,
    output c_load,
    output [3:0] c_d
); //

    count4 the_counter (clk, c_enable, c_load, c_d /*, ... */ );
    reg [3:0] temp;

    //4-bit计数器的控制信号
    assign c_enable = enable;
    //带复位和置位,
    assign c_load   = reset | (Q == 4'd12 & enable == 1'b1);
    assign c_d      = 4'b1;

//    count4 the_counter (clk, c_enable, c_load, c_d, Q );
    count4 Inst_count4
    (
        .clk(clk),
        .enable(c_enable),
        .load(c_load),
        .d(c_d),
        .Q(Q)
    );
endmodule

在这里插入图片描述

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值