verilog编程在线练习

门电路

与门

在这里插入图片描述
代码如下

module top_module( 
    input a, 
    input b, 
    output out );
assign out=a&&b;
endmodule

仿真
在这里插入图片描述

或非门

在这里插入图片描述

module top_module( 
    input a, 
    input b, 
    output out );
    assign out=!(a||b);
endmodule

仿真
在这里插入图片描述

同或门

在这里插入图片描述

module top_module( 
    input a, 
    input b, 
    output out );
    assign out= (a&&b)||(!a&&!b);
endmodule

仿真
在这里插入图片描述

组合电路

1.二对一多路复用器

module top_module( 
    input a, b, sel,
    output out ); 
assign out=sel?b:a;
endmodule

仿真
在这里插入图片描述
2.半加器
在这里插入图片描述

module top_module( 
    input a, b,
    output cout, sum );
	assign cout=a&b;
    assign sum=a^b;
endmodule

通过真值表判断sum、cout与a、b之间的逻辑关系
3.7420芯片
在这里插入图片描述

module top_module ( 
    input p1a, p1b, p1c, p1d,
    output p1y,
    input p2a, p2b, p2c, p2d,
    output p2y );
    assign p1y=!(p1a&&p1b&&p1c&&p1d);
    assign p2y=!(p2a&&p2b&&p2c&&p2d);

endmodule

仿真
在这里插入图片描述

时序电路

D触发器

在这里插入图片描述

module top_module (
    input clk,    // Clocks are used in sequential circuits
    input d,
    output reg q );//

    // Use a clocked always block
    //   copy d to q at every positive edge of clk
    //   Clocked always blocks should use non-blocking assignments
    always @(posedge clk)
        begin
            q<=d;
        end
endmodule

仿真
在这里插入图片描述

同步复位D触发器

在这里插入图片描述

module top_module (
    input clk,
    input reset,            // Synchronous reset
    input [7:0] d,
    output [7:0] q
);
    always @(posedge clk)
        begin
            if(reset)
                q=0;
            else
                q<=d;
        end
endmodule

在这里插入图片描述

四位二进制计数器

在这里插入图片描述

module top_module (
    input clk,
    input reset,      // Synchronous active-high reset
    output [3:0] q);
    always @(posedge clk)
        begin
            if(reset||q[3:0]==1111)
            	q<=0;
    		else
                q[3:0]<=q[3:0]+1;
        end

        
endmodule

在这里插入图片描述

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值