HDLBits刷题记录——FSM ps2data

导言

这道题目本身并不复杂,甚至可以说是比较简单。记录它的目的是之前做课程PJ时,要用到PS2接口连接键盘来键入输入值或者控制,印象中PJ里的实现方式比题目本身复杂。对于我而言,这大概是状态机用于实践的典型场景了。题目的意思是:

  • PS/2协议每周期发送3个字节长的消息。但在连续字节流中,我们并不知道消息从哪里开始和结束。唯一的指示是,每3个字节的消息的第1个字节的bit[3]=1,而其他2个字节的[3]位可能是1或0(取决于数据)。
  • 需要一个在给定输入字节流时搜索消息边界的有限状态机。使用的算法是丢弃字节,直到bit[3]=1的字节出现。然后假设该字节是消息的第1字节,并在所有3个字节都接收之后发出接收消息的信号即done。
  • 添加一个数据路径:当数据包被接收时,它也会输出24位即3字节的消息。out_bytes[23:16]是第一个字节,out_bytes[15:8]是第二个字节,以此类推。
  • 当done信号有效时,out_bytes必须是有效的。其他时间可以输出任何内容,也就是不care其他时刻的输出值。

思路

  • 设计一个四状态的状态机,关键是对bit[3]的检测。状态转换如下:
    • S1即为初始情况下对bit[3]的检测,检测到了以后传输字节即刻跳转到S2
    • S2状态下只传输下一个字节,并即刻跳转到S3
    • S3状态同S2,传输字节并即刻跳转到下一个状态DONE
    • DONE不仅意味着本次传输结束,还类似S1判断下一次传输是否开始
  • 数据路径方面,我认为只要能进入S2,第1个字节就能完成传输;能进入S3,第2个字节就能完成传输;能进入DONE,第3个字节就能完成传输。

代码

module top_module(
    input clk,
    input [7:0] in,
    input reset,    // Synchronous reset
    output [23:0] out_bytes,
    output done); //

    // FSM from fsm_ps2
    parameter S1=2'b00,S2=2'b01,S3=2'B10,DONE=2'b11;
    reg [1:0] state,next;
    always@(posedge clk) begin
        if(reset) begin
            state <= S1;
        end
        else begin
            state <= next;
        end
    end
    always@(*) begin
        case(state)
            S1: next = in[3] ? S2:S1;
            S2: next = S3;
            S3: next = DONE;
            DONE: next = in[3] ? S2:S1;
        endcase
    end    
    assign done = (state == DONE);
    
    // New: Datapath to store incoming bytes.
    reg [23:0] out_reg;
    always@(posedge clk) begin
        if(reset) begin
            out_reg <= 24'b0;
        end
        else begin
            case(next)
                S2: out_reg[23:16] <= in[7:0];
                S3: out_reg[15:8] <= in[7:0];
                DONE: out_reg[7:0] <= in[7:0];
                default: out_reg <= 24'b0;
            endcase
        end
    end
    assign out_bytes = done ? out_reg : 24'b0;

endmodule
  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Verilog FSM(Finite State Machine)是用Verilog语言编写的有限状态机。通过组合逻辑和时序逻辑的描述来实现对具有逻辑顺序事件的控制。在Verilog中,FSM的编写可以遵循一定的规范和推荐写法。 在编写Verilog FSM时,通常会使用always块来描述时钟上升沿或复位信号的触发条件。在这个always块中,可以使用if-else语句来处理复位信号,并根据当前状态和输入信号进行状态转移。 另外,为了使代码结构规范清晰,通常会使用三段式状态机的写法。第一个always块用来描述状态转移的触发条件,第二个always块用来描述下一状态的判断,第三个always块用来描述各状态的输出。这样的写法可以将组合逻辑和时序逻辑分开,易于综合。 在Verilog中,状态的编码可以使用二进制、格雷码或独热码。二进制编码简便,适用于小型设计。格雷码需要状态顺序跳变才能利用其特性,而独热码则常用于状态机设计中,因为它的译码简单,节省组合逻辑,并且时序更快,还能减少毛刺产生的概率。 下面是一个示例的Verilog FSM代码,其中使用了独热码编码状态和状态转移的逻辑: ```verilog localparam S0 = 4'b0001; localparam S1 = 4'b0010; localparam S2 = 4'b0100; localparam S3 = 4'b1000; reg [3:0 current_state; reg [3:0 next_state; // 状态转移 always @(posedge clk or negedge rst_n) begin if(!rst_n) current_state <= S0; else current_state <= next_state; end // 下一状态判断 always @ (*) begin case(current_state) S0 : next_state = S1; S1 : next_state = S2; S2 : next_state = S3; S3 : next_state = S0; default: next_state = S0; endcase end // 状态输出 always @(posedge clk or negedge rst_n) begin if(!rst_n) begin // reset condition end else begin case(current_state) S0 : begin // state S0 output end S1 : begin // state S1 output end S2 : begin // state S2 output end S3 : begin // state S3 output end default : ; endcase end end ``` 这个示例代码展示了一个简单的Verilog FSM,使用独热码编码了四个状态,并根据时钟信号和复位信号实现了状态转移和状态输出逻辑。你可以根据具体的需求修改状态和状态转移的逻辑,以及每个状态的输出逻辑。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [verilog FSM 范例](https://download.csdn.net/download/u013560111/6884151)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] - *2* *3* [【VerilogFSM设计](https://blog.csdn.net/m0_52840978/article/details/123390136)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值