FPAG中SignalTap II在线逻辑分析仪的使用方法

目录

1.SignalTap II介绍

2.使用SignalTap II操作流程


1.SignalTap II介绍

Quartus II15.0支持SignalTap II,它允许设计者在FPGA运行期间同时监视内部信号。通过下载电缆或传统的分析设备连接到用户的PC板卡上,便可以观察到这些信号的波形。使用SignalTap II就类似于使用逻辑分析仪,能够设置初始化、触发(内部或外部)和显示条件以及观察的内部信号,用户以此可以研究设计的运行状态。用户的分析参数可以被编译为嵌入逻辑分析仪(ELA),它和设计额其它数据一起配置FPGA。

2.使用SignalTap II操作流程

若要使用SignalTap II逻辑分析仪,必须先建立SignalTap II文件(stp)此文件包括所有配置设置并以波形显示捕获到的信号。一旦设置了SignalTap II文件,就可以编译工程,对器件进行编译并使用逻辑分析仪器采集和分析数据。

以下步骤描述设置SignalTap II文件和采集信号数据的基本流程:

  • 建立新的SignalTap II文件
  • 向SignalTap II文件添加实例,并向每个实例添加节点。可以使用Node Finder中的SignalTap II滤波器查找所有预综合和布局布线后的SignalTap II节点
  • 分配一个采样时钟
  • 设置其它选项,例如采样深度和触发级别等
  • 完全编译工程文件
  • 下载程序到FPGA中
  • 运行硬件并打开SignalTap II观察信号波形

3.设置触发器

如图所示是SignalTap II逻辑分析仪的界面。其中实例管理器对话框识别出设计中等待测试的所有验证过的逻辑分析仪,它们可以用来捕获并存储数据。该对话框好可以用来生成每个分析仪的资源进行估算。

信号配置面板用于设置采样信号和触发信号。采样时钟信号支持超过200MHz频率,采样深度最高达128K。数字示波器和逻辑分析仪中,触发器是一个重要的组成部分。触发器的性能很大程度上决定了一起的性能。触发器是逻辑级别、逻辑边缘触发方式、逻辑样本等逻辑事件的组合。通过不同的触发方式实现对信号的不同采样。

SignalTap II接口定义的触发事件中,每个事件的输入通道可以监测10个基本或高级的触发级别。触发级别向SignalTap II逻辑分析仪指明何时开始采集数据,10个触发级别为设置复杂的触发条件提供了足够的灵活性,帮助验证工程师分离错误或者找出问题原因,如果设置了多触发级别,知道所有的触发条件顺序满足之后,才开始采集数据。

触发位置允许指定在选定实例中在触发器之前和触发器之后应采集的数据量。分段的模式允许通过将存储器分为周密的时间段,为定期事件捕获数据,而无需分配很深的采样深度。其中对环形缓冲模式支持4个触发位置;这样,当触发条件满足后,用户可以更多的控制应该捕获并显示什么样的数据。

  • “前”触发位置向软件表明,在达到触发条件前,保存所发生采样的12%,达到触发条件后,再保存采样的88%
  • “中”触发位置向软件表明,在达到触发条件前,保存所发生采样的50%,达到触发条件后,再保存再养的50%
  • “后”触发位置向软件表明,在达到触发条件前,保存所发生采样的88%,达到触发条件后,再保存采样的12%
  • “连续”触发位置向软件表明,以环形缓冲的方式进行连续采样保存,直到用户中断为止。

 

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值