Verilog的Timing check函数之$width

//************************************************************************************************
//    $width
//************************************************************************************************
【简介】
$width函数用于检查信号脉冲的宽度是否达到要求。而信号脉冲的宽度由信号的reference_eventdata_event决定,如下图所示:

【参数】

note1、参数data_event是一个隐式参数,也就是说你不用将它写出来。系统会根据你的前一个参数得到,也就是说反向取沿。

note2、threshold参数是可选的。
note3、threshold参数,如果脉冲小于该门限,是不会报violation的,没有该参数时该值为0。

【例子】
1、

2、

【参考链接】
(筆記) 如何使用$width? (SOC) (Verilog) 

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值