Verilog HDL 测试模块

本文介绍了如何使用Verilog语言编写一个3输入8输出的译码器模块,名为decoder3x8。在该模块中,当使能信号'en'为高时,输出全为1,否则根据3位输入'din'的不同值,输出不同的8位二进制数。完成代码编写后,进行了错误检查和模型仿真,并提供了仿真设置及结果导出的步骤。
摘要由CSDN通过智能技术生成

1.新建文件夹命名的decoder3x8
2新建一个工程,点击输入框,输入代码
module decoder3x8(din,en,dout,ex);
input [2:0] din;
input en;
output [7:0] dout;
output ex;
reg [7:0] dout;
reg ex;

always @(din or en)
if(en)
begin
dout=8’b1111_1111;
ex=1’b1;
end
else
begin
case(din)
3’b000:begin
dout=8’b1111_1110;
ex=1’b0;
end
3’b001:begin
dout=8’b1111_1101;
ex=1’b0;
end
3’b010:begin
dout=8’b1111_1011;
ex=1’b0;
end
3’b011:begin
dout=8’b1111_0111;
ex=1’b0;
end
3’b100:begin
dout=8’b1110_1111;
ex=1’b0;
end
3’b101:begin
dout=8’b1101_1111;
ex=1’b0;
end
3’b110:begin
dout=8’b1011_1111;
ex=1’b0;
end
3’b111:begin
dout=8’b0111_1111;
ex=1’b0;
end
default:begin
dout=8’b1111_1111;
ex=1’b0;
end
endcase
end
endmodule

3.点击运行按钮,开始运行检查错
在这里插入图片描述

4.全部正确后,改变仿真途径为modelsim开始进行联合仿真
在这里插入图片描述

5.改变波长数值导出结果
在这里插入图片描述

6.视频链接:https://www.bilibili.com/video/BV1Hw411Z7gW?share_source=copy_web

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值