在线Verilog编程网站学习

在线Verilog编程网站学习

门电路练习

  1. 使用Verilog实现一个Andgate(与门)
    代码
module top_module( 
    input a, 
    input b, 
    output out );
	assign out=a&b;
endmodule

仿真结果
在这里插入图片描述

  1. 使用Verilog实现一个NOR(或非门)
    代码
module top_module( 
    input a, 
    input b, 
    output out );
    assign out=~(a|b);
endmodule

仿真结果
在这里插入图片描述
3. 使用Verilog实现XNorgate(同或门),同或门是异或门的取反输出,异或门的输入输出可以概括为,同则为0,异则为1。
实现代码

module top_module( 
    input a, 
    input b, 
    output out );
    assign out=~(a^b);
endmodule

仿真结果:

  • 1
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值