基于Tcl脚本生成Vivado工程及编译

第一步:打开vivado,点击菜单栏"Window->Tcl Consonle"打开Tcl控制台窗口,如下图。
在这里插入图片描述
第二步:在Tcl Console命令栏中输入cd C:/tl-led-flash/src/scripts,如图所示。其中C:/tl-led-flash/src/scripts为xxx_project.tcl的上一级目录,注意:指令中"/"符号的方向。
在这里插入图片描述
第三步:在Tcl Console命令栏中输入source tl_led_flash_project.tcl,可以通过TCL脚本文件生成vivado工程。下表为工程中各个文件的说明,如有错误,欢迎指正。
在这里插入图片描述

  • 3
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值