Vivado综合属性之MAX_FANOUT

本文介绍了综合属性MAX_FANOUT对Schematic的影响,通过本文可以理解通过寄存器复制的方式可以降低扇出。

高扇出信号可能会因为布线拥塞而出现时序问题。常用的规避方法是通过寄存器复制的方式降低扇出,可通过MAX_FANOUT实现寄存器复制。

MAX_FANOUT既可用于RTL代码中,也可以用于XDC中。

比如:

RTL代码:(*MAX_FANOUT = 50 *) reg rx_ce;

XDC: set_property MAX_FANOUT 50 [get_cells rx_ce_reg]或

set_property MAX_FANOUT 50 [get_nets {rx_ce}]

其中,rx_ce_reg表示要降低扇出的寄存器。

通常,寄存器复制后的寄存器名字最后都带有字符串rep,这一特性可帮助查找已复制的寄存器。

MAX_FANOUT起作用的条件

  1. 打算降低扇出的网络必须是reg寄存器驱动的。因为降低扇出的原理就是当reg驱动超过N时,就复制一个同样的reg驱动其他网络。
  2. -flatten_hierarchy不能设置为none。
  3. 如果需要优化的信号在IP核内部,那么在布局完成后使用命令:phys_opt_design -force_replication_on_nets [get_nets net_name]来保证IP核取消对内部网络的保护。

测试代码(RTL形式)

module top (
    input clk_in, 
    input rst_n,
    output reg [2:0] max_value
);
    always@(posedge clk_in or negedge rst_n)
    begin
    if(!rst_n)
        max_value <= 0;
    else
        max_value <= max_value + 1;
    end

endmodule

综合后的Schematic如下图,蓝色高亮表示max_value[0],扇出数是4:

 扇出数如下图所示:

修改测试代码如下,设定了MAX_FANOUT=3:

module top (
    input clk_in, 
    input rst_n,
    (*MAX_FANOUT = 3 *) output reg [2:0] max_value
);
    always@(posedge clk_in or negedge rst_n)
    begin
    if(!rst_n)
        max_value <= 0;
    else
        max_value <= max_value + 1;
    end

endmodule

上面的代码限定了max_value的最大扇出数为3,综合后的原理图如下: 

 上图中蓝色高亮的部分就是通过寄存器max_value_reg[0]复制后得到的。通过执行Reports -> High Fanout Nets得到扇出数如下:

 当在top.xdc中设置约束如下:

set_property MAX_FANOUT 3 [get_nets {max_value[0]}]
得到的原理图与上上图相同,如下。

 当在top.xdc中设置约束如下:

set_property MAX_FANOUT 3 [get_cells max_value_reg[0]]
得到的原理图与上图相同,不再给图。

  • 4
    点赞
  • 42
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 3
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

努力不期待

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值