systemverilog中static关键字

static class property

如果变量需要在不同的对象中共享,那么可以把这个变成定义成静态变量。

静态变量在声明对象句柄的时候就分配内存空间

The static class properties can be used without creating an object of that type.

1

2

3

4

class Packet ;

static integer fileID = $fopen"data""r" );

Packet p;

c = $fgetc( p.fileID );

  


static class method

  A static method has no access to non-static members (class properties or methods), but it can directly access static class properties or call static methods of the same class.(静态方法只能使用class中的静态成员参数和方法)

  Access to non-static members or to the special this handle within the body of a static method is illegal and results in a compiler error.

  Static methods cannot be virtual.

  • 1
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值