草稿#systemverilog# 说说Systemverilog中《static》那些事儿(生命周期属性篇)

本文详细介绍了Systemverilog中的静态变量(static)和动态变量(automatic)的概念和特点,包括它们的生命周期、使用场景以及静态方法与动态方法的区别。重点讨论了静态变量的全局和局部作用域,以及静态方法的调用方式和访问限制。
摘要由CSDN通过智能技术生成

概念一:全局变量和局部变量

在讨论静态变量和动态变量之前,我们先说全局变量和局部变量的概念。

局部变量的生命周期同其所在作用域,例如function/task中的变量,在方法调用结束后,这些变量的也将消失,所以它们是动态生命周期

全局变量是从仿真开始到结束一直存在的,例如module中的变量默认情况下全部为全局变量,这也可以理解为module中的变量是硬件电路中实际存在的信号和连接,所以它们是静态生命周期
 

 概念二: 静态变量和动态变量

变量可以分为动态(automatic)和静态(static)。

静态变量的特点:

该变量将被这个类的所有实例所共享,并且使用范围仅限这个类。

静态变量在声明时就应该对其初始化,它只初始化一次,也就是在仿真0时刻就存在。

可以认为声明在类中的静态成员变量,

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

那么菜

你的鼓励和批评是我最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值