week1:Verilog中function的应用

 在Verilog中使用function实现简单的数学函数运算。
 eg:实现输出以2为底的对数。
 function integer Out_log2;
 input [31:0] In_data;
 begin
 		In_data = In_data - 1;
 	    for(Out_log2 = 0;In_data > 0;Out_log2 = Out_log2 + 1)
 	    		In_data = In_data >> 1;
 end
 endfunction
 这样在想要通过某个输入参数获取到其以2为底对数的值时只需要调用就行。
 eg:localparam  In_data_log2 = Out_log2(32);
         In_data_log2的值就是5;
 当然举例的这个函数只提供function使用的思路,不同的条件下的用法还需要自己细细斟酌。
  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值