(14) ZYNQ AXI4-Lite总线简介(学无止境)

50 篇文章 20 订阅 ¥99.90 ¥99.00

1 应用领域

ZYNQ FPGA PS与PL交互主要是通过AXI4-Lite总线。通过寄存器的方式进行数据交互,每个寄存器有对应的地址。地址深度可以根据用户随意设置,最高可以支持64K。

2 信号说明

AXI4-Lite总线信号如下:
// Global Clock Signal
input wire  S_AXI_ACLK,//时钟
// Global Reset Signal. This Signal is Active LOW
input wire  S_AXI_ARESETN,//复位信号
// Write address (issued by master, acceped by Slave)
input wire [C_S_AXI_ADDR_WIDTH-1 : 0] S_AXI_AWADDR,//写地址
// Write channel Protection type. This signal indicates the
    // privilege and security level of the transaction, and whether
    // the transaction is a data access or an instruction access.
input wire [2 : 0] S_AXI_AWPROT,//数据安全级别和权限
// Write address valid. This signal indicates that the master signaling
    // valid write address and control information.
input wire  S_AXI_AWVALID,//写地址有效
// Write address ready. This signal indicates that the slave is ready

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

宁静致远dream

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值