Xilinx PLL IP核功能仿真

55 篇文章 73 订阅 ¥99.90 ¥299.90
本文介绍了在vivado 2016.1环境下,使用modelsim10.4c进行Xilinx PLL IP核的仿真过程。详细阐述了从设置PLL参数(如输入100MHz,输出50MHz和100MHz时钟)到观察locked信号变化,确保输出时钟稳定。文中通过仿真截图分析了输出时钟的初始毛刺、不稳定阶段及锁定后的稳定状态,同时讨论了在FPGA设计中如何利用locked信号进行复位操作。最后提供了作者的联系方式以供交流。
摘要由CSDN通过智能技术生成

0 本章目录

1)软件环境

2)PLL IP核仿真流程

3)结束语

1 软件环境

1)vivado 2016.1

2)modelsim10.4c


2 PLL IP核仿真流程

PLL IP核仿真流程如下:

1)vivado 关联modelsim仿真软件;

2)PLL IP核配置;IP配置,输入时钟clk_in1为100MHz,输出时钟clk_out1为50MHz, clk_out1为100MHz。

3)仿真刚开始时,两个输出时钟会产生一个时钟周期的电平如图1所示。


图1 仿真毛刺

4)接着仿真,产生输出时钟且locked信号为低,代表这时产生的输出时钟不稳定还不能用它去驱动其他逻辑如图2所示。


图2 仿真截图

5)只有当locked信号为高时,输出时钟才会稳定,可以用它去驱动其他逻辑如图3所示。

  • 2
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

宁静致远dream

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值