input delay和output delay讲解

我的理解是set_input_delay和set_output_delay都是描述你的外围设计的时序特性的,认为驱动这些信号的时钟与当前设计是同步的,其中set_input_delay是说外部电路驱动某输入信号到你的设计的端口需要多少时间,那对应的一个周期去掉这部分之后就是留给你的输入信号的margin了。对于输出信号, 你可同理推之,set_output_delay的意思就是从你的设计的输出端口到它的fanout逻辑使用的时间,那一个周期减少这部分时间,就是你的设计驱动这些输出信号的margin了。

  • 3
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值