UVM_1

UVM(Universal Verfication Methodology)

脱胎于VMM(Verification Methodology Manual)和OVM(Open Verification Methodology)

UVM提供了哪些些内容

  1. 编码原则:
    自顶向下的实现方法;
    在测试案例、检查和代码修改方面设计质量的最大化;
    方法可以在跨模块、系统和项目中进行重用;
    • 哲学方法
      一个环境多个案例;
      覆盖率驱动的验证;
    • 建模方法
      UVM object
      UVM component
      UVM factory
      UVM TLM
      message service
      RAL 寄存器抽象层
  2. 验证组件
    uvm_transaction
    uvm_sequencer
    uvm_driver
    uvm_monitor
    uvm_agent
    uvm_env
    test program
  3. 类库
    基础类库
    宏定义

SOC UVM验证平台架构

在这里插入图片描述

简单可重用的UVM分层testbench architecture

在这里插入图片描述

具有可扩展性的UVM架构

在这里插入图片描述

uvm架构组件

  1. component
  • test program
  • uvm_env
  • uvm_agent
  • uvm_sequencer
  • uvm_driver
  • uvm_monitor
  • uvm_scoreboard
  1. 通信
  • uvm_*_port
  • uvm_*_socket(套接字)
  1. 事务(transaction)
  • uvm_sequencer_item
    在这里插入图片描述

验证平台各个组件的运行

  • phase
    不同组件的phase是并行的;
  1. build (4
  2. run(12
  3. clean up(4
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值