二进制码和格雷码转换的Verilog实现

二进制码和格雷码之间的转换

一、二进制码与格雷码转换原理
(1)二进制码转换格雷码

二进制码     Bi       Bi-1     Bi-2    ...      B1     B0
             ^        ^        ^               ^      ^
B >>1        0        Bi      Bi-1    ...      B2     B1

格雷码       Gi       Gi-1     Gi-2    ...      G1     G0

在这里插入图片描述

(2)格雷码转换二进制码

  Bi  = Gi ;                                        
  Bi-1 = Bi ^ Gi-1 = Gi ^ Gi-1 ;                        
  Bi-2 = Bi-1 ^ Gi-2 = Gi ^ Gi-1 ^ Gi-2 ;	
  :
  :	  
  :
  B3   = B4 ^ G3 = Gi ^ Gi-1 ^ Gi-2 ... ^ G3;
  B2   = B3 ^ G2 = Gi ^ Gi-1 ^ Gi-2 ... ^ G3 ^ G2;	  
  B1   = B2 ^ G1 = Gi ^ Gi-1 ^ Gi-2 ... ^ G3 ^ G2 ^ G1;	     
  B0   = B2 ^ G1 = Gi ^ Gi-1 ^ Gi-2 ... ^ G3 ^ G2 ^ G1 ^ G0;

在这里插入图片描述
(3)二进制码与格雷码转换的Verilog实现
数值回环,输入二进制码数值,内部转换格雷码,再次转换为二进制码,验证代码的功能

module class_7_GB_BG#(
   parameter      WIDTH    =    4
   )(
   input             [WIDTH-1:00]           i_bin_data  ,

   output            [WIDTH-1:00]           o_grey_data ,   
   output    reg     [WIDTH-1:00]           o_bin_data
);	
/********二进制码转换格雷码***********************/
	assign  o_grey_data = (i_bin_data >> 1) ^ i_bin_data;
/********二进制码转换格雷码***********************/
/********格雷码转换二进制码***********************/
    integer i;	
	always@(o_grey_data)
	begin
	   for(i=0;i<WIDTH;i=i+1)
	       begin
		      o_bin_data[i] <= ^ (o_grey_data >> i);
		   end
	end	
/********格雷码转换二进制码***********************/
endmodule

(4)仿真testbench文件

module tb_class7#(  
   parameter      WIDTH    =    4 
);
   reg             [WIDTH-1:00]           i_bin_data; 
   wire            [WIDTH-1:00]           o_grey_data;   
   wire            [WIDTH-1:00]           o_bin_data;
class_7_GB_BG#(
   .WIDTH(WIDTH)
   )u_class_7_GB_BG(
   .i_bin_data  (i_bin_data) , 
   .o_grey_data (o_grey_data),   
   .o_bin_data  (o_bin_data)
);
initial  begin
i_bin_data = 0;
#100;
i_bin_data = 1;
#100;
i_bin_data = 2;
#100;
i_bin_data = 3;
#100;
i_bin_data = 4;
#100;
i_bin_data = 5;
#100;
i_bin_data = 6;
#100;
i_bin_data = 7;
#100;
i_bin_data = 8;
#100;
i_bin_data = 9;
#100;
i_bin_data = 10;
#100;
i_bin_data = 11;
#100;
i_bin_data = 12;
#100;
i_bin_data = 13;
#100;
i_bin_data = 14;
#100;
i_bin_data = 15;
#100;
end
endmodule

(5)仿真结果
仿真输出预计值对照表
在这里插入图片描述
仿真测试值
在这里插入图片描述

  • 2
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

小灰灰的FPGA

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值