verilog中define宏定义的用法——定义参数、条件编译

verilog代码中宏定义的用法

一、定义参数

在日常的代码编写过程中,我们常常会遇到需要定义很多参数的时候,我们有时候用parameter 或 localparam 来定义,这里同样可以利用宏定义来实现参数的定义。

比如说 在tb文件中要实现时钟,我们就可以用到define来实现不同时钟周期代码的复用。

`define clk_period 20

always #(`clk_period/2)clk=~clk;

需要特别注意的是`define语句后面是
不加分号的!!不加分号的!!不加分号的!!
这是一个刚开始使用宏的新手很容易踩得坑

二、ifdef 条件编译

宏不仅仅可以用来定义参数,还可以实现条件编译。

`ifdef 之后的宏 如果被定义过那么执行ifdef后面的宏,

否则执行 `else后面的定义的宏

最后还必须加上`endif

注意!!! `else块可以没有,

但是 `endif一定要加上!!!

`define A
//条件编译
'ifdef A
module one
...
endmodule
'else
module two
...
endmodule
'endif

上面的代码定义的A所以编译的是module one
如果像下面的代码这样没有define A 那么编译的时候,编译的就是module two了。

//条件编译
'ifdef A
module one
...
endmodule
'else
module two
...
endmodule
'endif
  • 6
    点赞
  • 35
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值