「Verilog学习笔记」可置位计数器

专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

`timescale 1ns/1ns

module count_module(
	input clk,
	input rst_n,
	input set,
	input [3:0] set_num,
	output reg [3:0]number,
	output reg zero
	);
	
	reg [3:0] cnt ; 

	always @ (posedge clk or negedge rst_n) begin 
		if (~rst_n) cnt <= 0 ; 
		else cnt <= set ? set_num : cnt + 1 ; 
	end

	always @ (*) begin 
		if (~rst_n) zero <= 0 ; 
		else zero <= number == 0 ; 
	end

	always @ (posedge clk or negedge rst_n) begin 
		if (~rst_n) number <= 0 ; 
		else number <= cnt ;
	end

endmodule
  • 7
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
要实现一个Verilog置位计数器,可以使用以下代码作为模块的基础结构: ```verilog module counter( input clk, input rst, input set, input [3:0 set_num, output reg [3:0 number, output reg zero ); reg [3:0 num; always @(posedge clk or negedge rst) begin if (!rst) zero <= 1'b0; else if (num == 4'b0000) zero <= 1'b1; else zero <= 1'b0; end always @(posedge clk or negedge rst) begin if (!rst) num <= 4'b0000; else if (set) num <= set_num; else num <= num + 1'b1; end always @(posedge clk or negedge rst) begin if (!rst) number <= 4'b0000; else number <= num; end endmodule ``` 在该代码中,定义了一个4位的计数器,使用时钟信号(clk)和复位信号(rst)进行控制。当复位信号为低电平时,计数器将被复位为0,输出信号(number)也将被置为0。当置位信号(set)有效时,计数器将被设置为输入的值(set_num)。否则,计数器将每次在时钟上升沿时递增1。 此外,根据计数器的值,根据题目要求,当计数器为0时,输出信号(zero)将被设置为1,否则为0。 请注意,这只是一个基本的计数器代码示例,可能需要根据具体需求进行修改。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *3* [Verilog 每日一题 (VL27 可置位计数器)](https://blog.csdn.net/NJUzzf98/article/details/124395104)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] - *2* [FPGA设计一个带有异步复位控制端和时钟使能控制端的10进制计数器.rar](https://download.csdn.net/download/weixin_50988169/19780331)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

UCSD.KS

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值