「Verilog学习笔记」异步复位同步释放

本文分享了作者在学习Verilog过程中对基本模块(如ali16)的设计,涉及时钟同步、复位信号处理和数据传递,使用了牛客网作为练习平台。
摘要由CSDN通过智能技术生成
专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

`timescale 1ns/1ns

module ali16 (
    input clk,
    input rst_n,
    input d,
    output reg dout
);

//*************code***********//
    reg rst0, rst1 ; 
    always @ (posedge clk or negedge rst_n) begin 
        if (!rst_n) begin
            rst0 <= 0 ; 
            rst1 <= 0 ; 
        end
        else begin 
            rst0 <= 1 ; 
            rst1 <= rst0 ; 
        end
    end

    always @ (posedge clk or negedge rst1) begin 
        if (!rst1) dout <= 0 ; 
        else dout <= d ; 
    end

//*************code***********//
endmodule
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

UCSD.KS

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值