格雷码与二进制转换的verilog实现

        格雷码(Gray code)又称为循环码。格雷码最大优点在于当它按照下表编码顺序依次变化时,相邻两个代码之间只有一位发生变化,这样在代码转换的过程中就不会产生噪声。

        二进制码就不用介绍了。

 ​​​​

        以下是二进制码转换为格雷码的原理图1: 

         以下是格雷码转换为二进制码的原理图2: 

        由原理图1可知二进制码转换为格雷码的verilog代码为:

assign gray = (bin >> 1) ^ bin;

        由原理图2可知格雷码转换为二进制码的verilog代码为:

always@(*)
    begin
        bin[3] = gray[3];
        bin[2] = gray[2] ^ bin[3];
        bin[1] = gray[1] ^ bin[2];
        bin[0] = gray[0] ^ bin[1];
    end

        其中,格雷码转换为二进制码时需要注意:

        二进制最高输出同时也会作为次高位的输入,因此要注意其顺序,所以此处应使用阻塞赋值方法。

  • 2
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值