静态时序分析—伪路径(set_false_path)

静态时序分析中,伪路径(set_false_path)用于忽略不需要分析的时序路径,优化运行时间。它包括point-to-point timing exception和asynchronous clocks的应用。在point-to-point中,通过指定-from/-to或-through来设置。对于异步时钟,通常使用set_clock_group -asynchronous。异常类型优先级方面,set_max_delay优先级高于set_false_path。当两者冲突时,set_false_path会覆盖set_max_delay的设置。

摘要生成于 C知道 ,由 DeepSeek-R1 满血版支持, 前往体验 >

f8c113ce00f040c0a1a0452088184fc0.jpg

false path指逻辑上存在,但不需要分析的timing path,使用set_false_path去忽略timing分析和优化的过程,减少run time,把更多的资源放在优化真实的critical path上。

43e7ea0d058e4cbdb7bc3e156b902bf4.jpg

1 set_false_path的应用

1.1 point-to-point timing exception

一般set_false_path需要指定-from/-to或者-through。

 set_false_path -from [get_pins FFB1/CP] -to [get_pins FFB2/D]

设置为false path的path,工具不会考虑它的时序约束,但是delay还是要计算的,因为这条false path上的组合逻辑可能应用于其他的path

### 如何在网络表中设置虚假路径约束 在数字电路设计中,`set_false_path` 是一种重要的时序约束机制,用于指示综合工具忽略某些特定路径上的时序分析。这种约束通常应用于那些不需要满足传统时序条件的路径上,比如异步信号、复位信号或者测试模式下的特殊路径。 以下是关于 `set_false_path` 的具体使用方法及其在网络表中的应用: #### 1. 基本语法 `set_false_path` 可以通过指定源寄存器(source)、目标寄存器(destination)或者其他逻辑单元来定义哪些路径被标记为虚假路径。其基本形式如下: ```tcl set_false_path -from [get_cells source_cell_name] -to [get_cells destination_cell_name] ``` 此命令会告诉综合工具,在从 `source_cell_name` 到 `destination_cell_name` 的路径上不执行任何时序检查[^1]。 #### 2. 应用场景 - **异步信号**:对于跨时钟域的信号传输,可以将其设定为虚假路径以避免不必要的时序警告。 - **组合逻辑回路**:如果存在未预期的设计行为导致了组合逻辑环路,则可以通过该约束消除错误提示。 - **扫描链插入**:在DFT(可测性设计)过程中引入的额外路径可能不符合常规时序模型,因此也需要加以屏蔽。 #### 3. 高级选项 除了简单的 `-from` 和 `-to` 参数外,还可以利用更复杂的匹配规则进一步细化控制范围。例如: - 使用正则表达式选择多个对象; - 结合其他属性筛选符合条件实例列表等等。 下面给出几个实际例子说明这些高级功能的应用方式: ##### 示例一: 对整个模块内的所有内部连接施加假路径约束 ```tcl set_false_path -through [get_nets *internal_net*] ``` 这里假设我们希望抑制某个特定命名模式下网络(`net`)所代表的所有连线相关联的一切潜在问题报告[^2]. ##### 示例二: 考虑优先级关系的情况 由于不同类型的时序例外声明之间可能存在冲突, 所以理解它们之间的相对重要程度非常重要. 按照文档描述,set_false_path具有较高的处理权值,这意味着它能够覆盖掉诸如最大延迟之类的较低层次限制.[^3] ##### 示例三: 组合推理配置调整 为了确保多路复用器(MUX)按照期望的行为正确生成而不受共享资源优化的影响, 我们可以适当调节相关的环境变量参数. ```makefile set hdlin_infer_mux true set hdlin_mux_size_limit 512 ``` 这样做的目的是让工具知道即使遇到复杂情况也应该尽可能保留原始结构而不是尝试简化重构.[^4] ### 总结 通过对上述内容的学习可以看出合理运用各种手段可以帮助工程师更好地掌控项目进展状况并最终达成高质量成果的目标.
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

拾陆楼

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值