同步置位与异步置位

同步置位:当置位信号有效且时钟上升沿到来时,才会执行置位。

异步置位:只要置位信号有效,就会执行置位,不考虑时钟信号是否有效。

程序:

//功能:使用触发器实现同步置位和异步置位
module dff_sync_set (
    input wire clk,//50mhz时钟
    input wire rst_n,//复位,下降沿有效
    input wire din,//输入信号
    input wire set,//置位信号,高电平有效

    output reg out1,//输出信号,同步置位
    output reg out2 //输出信号,异步置位
);
//同步置位
always @(posedge clk or negedge rst_n ) begin
    if (!rst_n) begin
        out1 <= 1'b0;
    end  
    else if(set)begin
        out1 <= 1'b1;
    end
    else begin
        out1 <= din;
    end

end
//异步置位
always @(posedge clk or negedge rst_n or posedge set) begin
    if(!rst_n)begin
        out2 <= 1'b0;
    end
    else if(set)begin
        out2 <= 1'b1;
    end
    else begin
        out2 <= din;
    end
end

endmodule

测试代码: 

`timescale 1ns/1ns

module tb_dff_sync_set ();

  reg clk;
  reg rst_n;
  reg din;
  reg set;

  wire out1;
  wire out2;

initial begin
    clk = 1'b0;
    rst_n=1'b0;
    #10;
    rst_n=1'b1;
end
always #10 clk=~clk;
initial begin
    din=1'b0;
    set=1'b0;
    #20;
    din=1'b1;
    set=1'b0;
    #20;
    din=1'b0;
    set=1'b0;
    #20
    din=1'b0;
    set=1'b1;
    #20
    din=1'b1;
    set=1'b0;
    #40;
    $stop;
end

dff_sync_set dff_sync_set_inst (
    /*input wire*/ .clk  (clk  ),//50mhz时钟
    /*input wire*/ .rst_n(rst_n),//复位,下降沿有效
    /*input wire*/ .din  (din  ),//输入信号
    /*input wire*/ .set  (set  ),//置位信号,高电平有效

    /*output reg*/ .out1 (out1),//输出信号,同步置位
    /*output reg*/ .out2 (out2)//输出信号,异步置位
);    
endmodule

 仿真图:

   

  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值