Nios入门实验——用Nios软件编程方式完成LED流水灯显示并使用串口输出“Hello World”

一.实验介绍

实验目的

1.学习 Quartus Prime 、Platform Designer、Nios II SBT 的基本操作;
2.初步了解 SOPC 的开发流程,基本掌握 Nios II 软核的定制方法;
3.掌握 Nios II 软件的开发流程,软件的基本调式方法。

主要内容

1.在DE2-115开发板上用Nios软件编程方式完成LED流水灯显示
2.用Nios软件编程通过DE2-115开发板串口输出“Hello Nios-II”字符到笔记本电脑串口助手

实验原理

控制 LED 灯闪烁的用户程序代码很小,可将其固化在片内 ROM 来执行。变量、堆栈等空间使用片内 RAM,不使用任何片外存储器。整个系统的框图如下图所示。为了简单起见,本实验在 FPGA 内不包括其它逻辑。
在这里插入图片描述

二.硬件设计

先新建一个工程hello_led,点击 Tools 下拉菜单下的 Platform Designer 工具,如图选择:
在这里插入图片描述
启动 Platform Designer 后,点击 File-save,在文件名中填写为 kernel后点击OK
鼠标放在 clk_0 处点击右键 Edit 或是双击 clk_0 元件,对 Clock 进行时钟设置,设为 50M
在这里插入图片描述
添加 CPU 和外围器件
1.添加 Nios II 32-bit CPU
在“component library”标签栏中找到“Nios II Processor”后点击 Add(在查找窗口输出 nios 查找)
在这里插入图片描述
在 Nios Core 栏中选择 Nios II/f 选项,其他保持默认选项

在这里插入图片描述
其它栏如下图选择
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
点击 Finish 回到 PD 界面
将 nios2_qsys_0 重命名为 cpu,点击”Rename”即可重新命名,
将 cpu 的 clk 和 reset 分别与系统时钟 clk_0 的 clk 和 clk_reset 相连,如下图
在这里插入图片描述
2.添加 jtag uart 接口
jtag uart 接口是 Nios II 嵌入式处理器新添加的接口元件,通过内嵌在 Intel FPGA 内部的 JTAG 电路,可以实现在 PC 主机与 Qsys 系统之间进行串行字符流通信。

在”Component Library”标签栏中的查找窗口输入 jtag 找到”JTAG UART ”,然后点击 Add
在这里插入图片描述

在 JTAG UART-jtag-uart_0 的设置向导中保持默认选项,点击 Finish
在这里插入图片描述
返回”System Contents”标签栏可以看到新加入的”JTAG UART”核。在”Name”列中将 jtag-uart_0 重命名为 jtag-uart
d进行 clk、reset 以及 master-slave 的连线,进行中断 irq 连线
在这里插入图片描述
3.添加片上存储器 On-Chip Memory(RAM)核
从下图左侧”Component Library”标签栏中的查找窗口输入 On Chip 找到”On-Chip Memory(RAM or ROM)”后点击 Add

在这里插入图片描述
在”Size”栏中的”Total memory size”窗口中输入 40960(即片上内存的大小为 40KB),
其余选项保持默认,点击 Finish
在这里插入图片描述
返回”System Contents”标签栏可以看到新加入的”On-Chip Memory”核。在”Name”列中将 onchip_memory2_0 改名为 onchip_ram,进行时钟、数据端口、指令端口的连接
在这里插入图片描述
4.添加 PIO 接口
从下图左侧”Component Library”标签栏中的查找窗口输入 pio 找到”PIO”后点击Add
在这里插入图片描述
确定以下选项:Width 为 8bits,Direction 选择 output,其余选项保持默认,点击Finish
在这里插入图片描述
返回”System Contents”标签栏可以看到新加入的”PIO”核。在”Name”列中将pio_0 改名为 pio_led。并在在 Export 栏处双击,把输出口引出来,并命名为 out_led,进行时钟、数据端口、指令端口的连接
在这里插入图片描述
5.添加片 System ID Peripheral 核
从”Component Library”标签栏中的查找窗口输入 sys 找到”
在这里插入图片描述

System ID Peripheral”后点击 Add,保持默认选项,单击 Finish,之前在 SOPC Builder 中 System ID 是自动生成的,但是在 PD(Qsys )里已经不再自动生成。在 System ID 中可以输入一个 32 位的十进制整数值,保持 0 当然也可以。返回”System Contents”标签栏可以看到新加入的” System ID Peripheral”核。在”Name”列中将 sysid_qsys_0 改名为 sysid。进行时钟、数据端口的连接
在这里插入图片描述
完成 Qsys 设计的后续工作
基地址分配:点击 PD 主界面菜单栏中的”System”下的”Assign Base Addresses”
在这里插入图片描述
分配中断号:在”IRQ”标签栏下点选”Avalon_jtag_slave”和 IRQ 的连接点就会为”jtag_uart”核添加一个值为 0 的中断号

在这里插入图片描述
指定 NIos II 的复位和异常地址:从”System Contents”标签栏
双击建立好的 cpu 进入 Nios II Processor 的配置界面,配置 Reset Vector 和 Exception Vector 为””onchip_ram.s1”,点击 Finish
在这里插入图片描述
点击 Qsys 主界面菜单栏中的”System”下的”Create Global Reset Network”

在这里插入图片描述
点选”Generation HDL”标签栏中 Generate 按钮生成 Qsys 系统
在这里插入图片描述在这里插入图片描述
在这里插入图片描述

在原理图(BDF)文件中添加 PD 生成的系统符号,如图在空白处双击将已生成的 kernel 加入 top_level.bdf 中
在这里插入图片描述
加入 Quartus II IP File 文件,为了以后编译成功,将对应的 Quartus II IP
File (.qip) 加入项目中
在这里插入图片描述
进行逻辑连接和生成管脚。开发板晶振为 50M,与系统默认一致不需要修改。
在 kernel 模块内点击鼠标右键选取 Generate Pin for Symbol Ports 生成管脚,并将管脚”inclk0”改名为 clock,管脚”reset_reset_n”改名为 reset_n,管脚 out_led_export[7…0]改为 out_led[7…0]
在这里插入图片描述
芯片引脚设置
菜单里选择 Assignments-device,然后如下图所示点击 Device pin options。
进行 unused pin 设置,可能会收到外部信号的干扰,将未用引脚设置为 As input tri-stated
在这里插入图片描述
在这里插入图片描述
编译工程
回到 Quartus II 主界面后编译项目。编译成功后,点击 OK 完成
分配物理针脚,进入 Pin Planner 界面,按照开发板提供的管脚名称分配针脚
在这里插入图片描述

三.软件设计

1.使用 Nios II Software Build Tools for Eclipse 来完成当前项目的软件开发。
启动 Nios II SBT,点击 Nios II Software Build Tools for Eclipse 打开 Nios II SBT for Eclipse

在这里插入图片描述
2.启动 Workspace 选择当前的项目目录,点 OK
在这里插入图片描述

3.创建工程
在”SOPC Information File name”窗口中选择 kernel.sopcinfo 文件,以便将生成硬件配置信息和软件应用关联,CPU 栏会自动选择”CPU”。在”Project name”输入”hello_world,”Project template”选择 Hello_World。点击 Finish
在这里插入图片描述
系统会自动生成一个打印“hello_world”的软件工程,在 hell_word.c 里修改流水灯控制程序

#include "system.h"
#include "altera_avalon_pio_regs.h"
#include "alt_types.h"
const alt_u8
led_data[8]={0x01,0x03,0x07,0x0F,0x1F,0x3F,0x7F,0xFF};
int main (void) {
	int count=0;
	alt_u8 led;
	volatile int i;
	while (1)
	{
	printf(”Hello world!\n");
		if (count==7)
		{count=0;}
		else
		{count++;}
		led=led_data[count];
		IOWR_ALTERA_AVALON_PIO_DATA(PIO_LED_BASE, led);
		i = 0;
		while (i<500000)
			i++;
	}
	return 0;
}



编译工程
右键单击项目名称,在弹出的菜单中选择 Build Project
在这里插入图片描述
连接 JTAG 到开发板, 启动 Quartus Prime Programmer
在这里插入图片描述
在这里插入图片描述
运行/调式程序,在 C/C++ Projects 视图中右击 hello_led_0 工程文件夹,然后在弹出的快捷菜单中选择 Run As→Nios II Hardware 运行程序,也可以在菜单栏中选择 Run →Run Configurations
在这里插入图片描述
配置Run Configurations,转到Target Connection标签栏,点击右侧的Refresh Connection,将USB-Blaster加入
在这里插入图片描述
最后点击Run

四.实验结果

在这里插入图片描述

在这里插入图片描述

  • 8
    点赞
  • 28
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值