Verilog学习日志(4选一多路选择器)

初次接触硬件设计相关的东西,对学习过程进行一下记录。

首先根据书上内容设计了一个简单的二选一选择器

Verilog代码如下:

module mux2_1 #(
parameter DW = 32    //位宽
)(
    input    [DW-1:0]    data_in0,        
    input    [DW-1:0]    data_in1,        
    input     sel,  
    output    [DW-1:0]    data_out      

);
    wire    sel_in0;
    wire    sel_in1;
    assign    sel_in0 = (sel==1'b0);
    assign    sel_in1 = (sel==1'b1);
    assign    data_out = {DW{sel_in0}}&data_in0 | {DW{sel_in1}}&data_in1;

endmodule

后仿造2选1多路选择器,用Verilog对4选1多路选择器进行建模。

题目如下:

要求输入分别为data_in0,data_in1,data_in2,data_in3,sel[1:0](数据的位宽请用parameter指定为DW)[PS:为养成良好的代码习惯,带我学习的大佬给我的代码提了一些要求(为了良好的代码风格,题目中的verilog文件不允许出现关键字“reg” “always” “case” “casex” “if” “else”)]

这是一个非常简单的题,根据情面2选1的例子,我们可以类推,将输入的数据提高为4个,选择信号改为2位宽度,后面的assign部分,分别将对应的编码进行设置带入

  • 2
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 4
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值