基于高云FPGA开发板的多功能数字时钟

目录

一、数字时钟作品的功能

二、数字时钟作品的主体设计原理和功能说明

三、数字时钟的各设计模块说明及模块程序代码

1) 时钟分频模块time_div、freq_div

2)按键消抖模块key_db

3)控制模块control

4)时间正常计数模块time_count

5)时间设置模块time_set

6)时间动态扫描位选模块time_display_sel

7)显示模块display

8)秒表模块stop_watch

9)闹钟模块alarm_clock

10)多功能数字钟的顶层设计clock_demo

四、模块调试和硬件下载测试

本程序进行硬件下载测试的流程:

 

模块调试:

1.时间正常显示模块调试:

2.时间设置模块调试:

3.秒表模块调试:

4、闹钟模块调试

五、遇到的问题及解决方法

六、课程学习总结


 

一、数字时钟作品的功能

本作品是一款基于FPGA开发设计的多功能数字时钟,在结合利用高云开发板的相关硬件资源的情况下,设计了这样的一款多功能数字时钟。该数字时钟具备有以下的相关功能:

1、具有基本走时功能,时间范围是00:00:00~23:59:59。

2、具有暂停计时和清零功能。

3、具有调节时间功能。

4、闹钟功能,定时时间可调,可以发出提示信号。

5、秒表功能,具有清0和暂停。

二、数字时钟作品的主体设计原理和功能说明

数字时钟作品设计的基础语言是Verilog,在高云软件(Gowin V1.9.8.11 Education)上面完成程序的编写,并进行系统的仿真,最后将代码下载到高云FPGA实物开发板上面测试,验证数字时钟的功能,最终完成本次的课程设计。 

根据项目的设计要求,多功能数字时钟主要完成了基本的时间显示功能、时间设置功能、闹钟功能及秒表功能。在各种功能之间,需要进行界面的转换,因此需要设定外部功能按键,用来控制界面跳转和设置参数。同时,在不同的界面下,需要完成各种小的功能实现,以实现对时间的设置、秒表、闹钟等等功能的设置和实现。

1)时间正常显示、设置时间的状态信息、秒表信息等功能的显示   

采用四段共阳极数码管来完成界面信息显示,在按键的控制下,实现时间的设置,以及在设置过程中的时间显示、秒表计时显示、闹钟时间设置与查看。

2)四个按键开关的控制,对展示的界面进行调节

(1)按键1(key1):

功能1,进入时间正常显示功能模式;

功能2,进入时间设置功能模式;

功能3,进入秒表功能模式;

功能4,进入闹钟时间查看与设置功能模式。

(2)按键2(key2):

按键2主要实现时间设置、闹钟设置、秒表的暂停与开始计时,与按键1配合使用。在功能2模式时,用做时、分、秒数码管的移位,按一下,就会出现“时-分-秒”的依次移位,便于在特定的数码管位置进行时间的设置,在退出功能2模式时,功能1的正常时间显示会马上更新为新的时间。在功能3中,当按下按键时,秒表将开始计时,同时,在按下按键时,停下计时。在功能4模式时,用做时、分、秒的移位,按一下,就会实现“时-分-秒”的依次移位,便于在特定的数码管位置进行时间的设置。

(3)按键3(key3):

按键3主要用于闹钟设置、秒表和时间设置中的调整按键。在功能2模式时,用做时、分、秒的数字调整,按一下,会使当前按键2选择的位置的数字加1。在功能4模式时,用做时、分、秒的数字调整,按一下,会使当前按键2选择的位置的数字加1。

(4)按键4(key4):

主要与按键3配合使用,当按键4按下时,此时的相关标志位打开,再次按下按键3,当前的数码管数字清0,再次按下按键4,便可以继续实现按键3的+1操作,主要用于模式2的时间设置和模式3的秒表模式。同时,在模式1中,当按下按键4时,此时将会暂停当前的时间显示,时钟不会跳动,实现暂停。

3)相关提示信号和闹钟时间到达时的提醒信号

在模式1的正常时间显示中,在数码管的中间位置设置两个小点的闪烁信号,每隔1s的时钟,该指示灯便会闪一下,用于提醒用户此时正在进行时间走时。在模式3中,秒表在正常计时的时候,对从左往右第1位数码管和第3位数码管设置小数点,用于区分此时的分、秒、十分秒。同时,在模式4中,当正常时间与闹钟设置的之间相等时,会发送一个提醒信号LED,信号的持续时长为60s,起到闹钟的功能。

多功能数字钟总体设计框图如下:

d8cfef4d61d447ebad0457e5ddb1f16a.png

三、数字时钟的各设计模块说明及模块程序代码

1) 时钟分频模块time_divfreq_div

时钟分频模块time_div主要利用模块freq_div模块来完成基准时钟clk的分频,得到计时模块clk1、数码管动态扫描模块clk1k、秒表模块clk10、设置时间时,单独显示一个数码管所需要的时钟信号clk200,此时的时钟分别为1Hz 、1kHz、100Hz和200Hz

其中,在time_div模块中,将分频系数1、1000、100、200通过下面的模块进行例化,并传入freq_div模块,便可以得到相应的时钟信号输出。

程序如下所示:

//clk:系统基准时钟  27MHZ
//clk1k:动态扫描时钟信号  1kHZ
//clk200:闪烁时钟信号  200HZ
//clk10:秒表--时钟信号  10HZ
//clk1:秒--时钟信号  1HZ=1s
module time_div(
  input   clk,         //系统的自带时钟 27MHz=27 000 000
  output   clk1k, 
  output   clk200,
  output   clk100,
  output   clk1    
);
localparam CLK_IN_FREQ = 27000000;   //设备的时钟频率
freq_div #(     //1000HZ分频 --硬件不同在此处的分频随时调整
     .DIV_RATE_2N  (CLK_IN_FREQ/1000) 
) freq_div_i_clk1k(
    .clk_in_i    (clk),
    .rst_i       (rst),
    .clk_out_o   (clk1k)   
);
freq_div #(      //200HZ分频
     .DIV_RATE_2N  (CLK_IN_FREQ/200)
) freq_div_i_clk200(
    .clk_in_i    (clk),
    .rst_i       (rst),
    .clk_out_o   (clk200)   
);
freq_div #(     //100HZ分频
     .DIV_RATE_2N  (CLK_IN_FREQ/10)
) freq_div_i_clk10(
    .clk_in_i    (clk),
    .rst_i       (rst),
    .clk_out_o   (clk10)  
);
freq_div #(       //1HZ分频
     .DIV_RATE_2N  (CLK_IN_FREQ/1) 
) freq_div_i_clk1(
    .clk_in_i    (clk),
    .rst_i       (rst),
    .clk_out_o   (clk1)   
);
endmodule

2)按键消抖模块key_db

按键消抖模块,主要实现外部的四个按键信号输入时,将输入的按键信号进行消抖,防止抖动的误操作。

其中,在下面的模块例化中,将输入的按键信号key1key2key3key4送入key_db模块中,便可以得到4个已经消抖之后的按键信号key_db1key_db2key_db3key_db4

程序如下所示:

key_db    key_db_i1( // 按键去抖动信1
   .clk    (clk),
   .key_i    (key1),
   .key_db_o     (key_db1)    
);
key_db    key_db_i2( // 按键去抖动信号2
   .clk    (clk),
   .key_i    (key2),
   .key_db_o     (key_db2)    
);
key_db    key_db_i3( // 按键去抖动信号 3 
   .clk    (clk),
   .key_i    (key3),
   .key_db_o     (key_db3)    
);
key_db    key_db_i4( // 按键去抖动信号 4
   .clk    (clk),
   .key_i    (key4),
   .key_db_o     (key_db4)    
);



//按键消抖模块      外部按键信号输入,实现消抖输出
`timescale 1ns/1ns
module   key_db #(
    parameter    RST_VALUE  = 1'b0,
    parameter    CLK_FREQ_Hz = 27000000
)(
    input    clk,
    input     rst,
    input     key_i,
    output    key_db_o
);
wire   clk_100Hz;
freq_div #(
    .DIV_RATE_2N (CLK_FREQ_Hz/100)
) freq_div_i (
    .clk_in_i    (clk),
    .rst_i        (rst),
    .clk_out_o   (clk_100Hz)
);
wire [2:0]   samples;
shift_reg  #(
    .WIDTH     (3),
    .INIT_VALUE   ({3{RST_VALUE [0]}})
)shift_reg_i(
    .clk    (clk_100Hz),
    .rst    (rst),
    .load_i    (1'b0),
    .load_data_i   (3'h0),
    .reg_in_i    (key_i),
    .reg_out_o     (samples[2:0])
);
    assign   key_db_o = RST_VALUE ? |samples[2:0] : &samples[2:0];
endmodule

3)控制模块control

控制模块主要是对四个功能模块进行整体控制,包括对时间正常显示、时间设置、秒表和闹钟显示及调整的控制,其中,四个功能模块的转换是通过按键key1实现的。

按键key1按下一次,此时在程序中控制的标志位set_en便会+1,再在程序的下面设置合适索引值的case语句,就使下一个功能的使能端处于高电平状态(表示选中),此时便会显示该界面,再按下一次,就会转入下一个功能,使下一个功能的使能端有效,依次类推,便可以实现四个功能time_entime_set_enstopwatch_enalarm_clock_en的切换。

程序如下所示:

module control(
  input key1,
  output reg time_en,   //时间正常工作使能
  output reg time_set_en,   //时间设置使能
  output reg stopwatch_en,  //秒表使能
  output reg alarm_clock_en );   //闹钟设置使能 
reg [2:0] set_en;    //用于功能选择
always @(posedge key1)    begin
    if (set_en < 3)    //各种功能信号的产生,通过自增进行叠加。
      set_en <= set_en + 1;
    else
      set_en <= 0;
    case (set_en)      //根据各种功能信号产生相对应的控制信号,高电平有效
    3'b000: begin   //时间正常工作使能
          time_en <= 1;
          time_set_en <= 0;
          stopwatch_en <= 0;
          alarm_clock_en <= 0;
        end
   3'b001: begin    //时间设置使能
          time_en <= 0;
          time_set_en <= 1;
          stopwatch_en <= 0;
          alarm_clock_en <= 0;
        end
    3'b010: begin  //秒表使能
          time_en <= 0;
          time_set_en <= 0;
          stopwatch_en <= 1;
          alarm_clock_en <= 0;
        end
    3'b011:begin    //闹钟使能
          time_en <= 0;
          time_set_en <= 0;
          stopwatch_en <= 0;
          alarm_clock_en <= 1;
        end
      default: begin      //全部关闭
          time_en <= 0;
          time_set_en <= 0;
          stopwatch_en <= 0;
          alarm_clock_en <= 0;
        end
    endcase
  end
endmodule

4)时间正常计数模块time_count

时间正常计数模块主要实现六位数字时间的正常运行,并将生成的数字情况发送给数码管进行显示。

其中,秒和分本质是为0~59的六十进制计数器,小时为0~23的二十四进制计数器。在具体进行计数的过程中,秒的低位进行依次+1,当达到9时,秒的低位将会清0,此时秒的高位便会+1。之后,当秒的高位达到5,秒的低位达到9时,此时便会产生相应的秒向分的进位信号cout1,分的低位便会实现+1,同样,在相同的逻辑之下,分也会产生向小时的进位信号cout2,小时低位也会+1,其中需要注意的是,小时高位只有2,再次进位便会到达天的计数。

同时,在时间计数模块中,还引入时间设置的情况,用于在二者的模式进行转换的时候,可以将原先的计数情况进行更新,以达到设置时间的功能。

在进行秒的计数过程中,将秒的低位计数设置标志位flag1,当按键key4按下的时候,此时秒的低位停止计数,此时正常时间显示可以实现暂停的功能。

程序如下所示:

//clk1:秒功能的时钟信号,为1Hz的脉冲信号
//time_en:时间正常工作的使能信号    time_set_en:时间设置使能信号
//hourh_set,hourl_set, minh_set,minl_set, sech_set,secl_set:设置后的小时、分和秒(分别两位)
//hourh,hourl:小时的高、低位显示         minh,minl:分的高、低位显示
//sech,secl:秒的高、低位显示      cout:进位输出,即计满24小时,向下一天产生的进位输出信号
module time_count(
  input clk1,
  input clk,
  input key4,    // 添加按键信号
  input time_en,  //control模块--时间正常工作使能
  input time_set_en,  //时间设置使能
  input alarm_clock_en,
  input [3:0]  hourh_set,   //小时、分和秒(分别两位)设置
  input [3:0]  hourl_set,
  input [3:0]  minh_set,
  input [3:0]  minl_set,
  input [3:0]  sech_set,
  input [3:0]  secl_set,
  output reg [3:0]  hourh,  //小时、分和秒(分别两位)显示
  output reg [3:0]  hourl,
  output reg [3:0]  minh,
  output reg [3:0]  minl,
  output reg [3:0]  sech,
  output reg [3:0]  secl,
  output reg cout,  //进位输出
  output reg two_points  );    //输出数码管中间的两个点                         
reg flag1;
reg cout1,cout2;    //cout1和cout2分别为秒向分、分向小时的进位
reg [31:0] counter = 0;
always @(posedge key4)   begin  //每次检测key4的按下,进行标志位翻转
    flag1 = ~flag1;                          
end
always @(posedge clk )  begin   //计数器,实现对数码管的中间小点的闪烁控制--基于系统时钟27Mhz
  if (time_en)
    if (flag1) begin
      if (counter == 13500000)  begin
          two_points <= ~two_points;  // 反转小点状态
          counter <= 0; // 重置计数器
        end 
      else 
        begin
          counter <= counter + 1;
        end
    end
  else  if (alarm_clock_en)  begin
            two_points <= 0;
          end
        else
          begin
            two_points <= 1;
          end
end
always@(posedge clk1 or posedge time_set_en)  begin
    if (time_set_en) begin   //秒的设置
        sech<=sech_set;     //设置给秒的高低两位
        secl<=secl_set;
      end
    else  if (time_en)    begin 
           //秒的计数情况
        if (secl==9)  //秒的低位为9时
          begin
          secl<=0;     //秒低位清0
          if (sech==5)   //秒高位为5时
            begin
              sech<=0;   //秒的低位为9,秒的高位为5时,秒的高位清0
              cout1<=1;  //秒向分进1
            end
          else
            begin
              sech<=sech+1;  //秒高位不为5,继续+1
            end
          end
        else if (flag1)   //当标志位翻转时,秒的低位不再变化,实现暂停
          begin
          secl<=secl+1;  //秒低位不为9,继续+1
          cout1<=0;
          end                
      end
  end
always@(posedge cout1 or posedge time_set_en)  //检测秒向分的进位
  begin   
    if (time_set_en)  begin    //分的设置
        minh<=minh_set; //设置给分的高低两位
        minl<=minl_set;
      end   
    else if (minl==9)  begin   //分的计数情况
        minl<=0;     //分低位清0
        if(minh==5)   begin //分高位为5时
            minh<=0;  //分的低位为9,分的高位为5时,分的高位清0
            cout2<=1;  //分向时进1
          end
        else
          begin
            minh<=minh+1;  //分高位不为5,继续+1
          end
      end
      else
          begin
          minl<=minl+1;
          cout2<=0;
          end
  end
always@(posedge cout2 or posedge time_set_en)   begin    //检测分向时的进位
    if(time_set_en)    //小时的设置
      begin
        hourh<=hourh_set;
        hourl<=hourl_set;
      end
    else if ((hourh==2)&&(hourl==3))  begin //小时的计数情况
        hourh<=0;  //时高位清0
        hourl<=0;  //时低位清0
        cout<=1;   //向天进位
      end
    else if (hourl==9)  begin  //时的低位为9
        hourl<=0;   //时的低位清0
        if(hourh==2)  //时高位为2时
          hourh<=0;   //时的高位清0
        else
          hourh<=hourh+1;  //时的高位不为2,高位继续+1
      end
    else
      begin
      hourl<=hourl+1;  //都不满足,时的低位继续+1
      cout<=0;
      end
   end
endmodule

5)时间设置模块time_set

时间设置模块time_set的主要功能是对当前时间的时、分和秒进行调整,可以实现指定数码管时间的更改,并将更改后的时间用于正常时间的更新。

time_set_en高电平有效时,可以对当前时间进行调整,每按一下key2,位选择信号time_display就会进行+1,改变此时的值,当time_display=O时,对小时高位进行调整;当time_display=1时,对小时低位进行调整。依次类推,直到对时、分、秒的高位和低位都调整完毕为止。

   其中,当前数字的+1操作,是通过按键key3实现的,每按一次key3,就会在小时高位上+1,选择不同的数码管时,就会在对应的数码管上+1。 在time_set模块中,

加入了当前数字的清0操作,在进行数字+1时,首先判断标志位flag2,当标志位为真时,清空当前的数码管。

程序如下所示:

//key2:在某种功能的条件下,各种设置位的选择信号
//key3:在设置位有效的条件下,对设置位进行加1操作
//time_set_en:时间设置使能信号
//hourh_set,hourl_set,minh_set,minl_set,sech_set,secl_set:设置后的小时、分和秒
//time_display:设置中的数码管选择   6个
module time_set(
      input key2,      //各种设置位的选择信号
      input key3,      //+1
      input key4,      //当前数码管,清0
      input time_set_en,  //时间设置使能信号
      output reg [3:0] hourh_set,   //设置后的小时、分和秒
      output reg [3:0] hourl_set,
      output reg [3:0] minh_set,
      output reg [3:0] minl_set,
      output reg [3:0] sech_set,
      output reg [3:0] secl_set,
      output reg [2:0] time_display  //设置中的数码管选择 6个
);
reg flag2;   //通过标志位清0
always @(posedge key4)    //每次检测key4的按下,进行标志位翻转
begin
    flag2 = ~flag2;
end
always@(posedge key2)
      begin
      if(time_set_en)
            begin         //6个数码管选择
            if(time_display<5)   //时、分、秒6位分别选择
                  time_display<=time_display+1;
            else
                  time_display<=0;
            end
      end
always@(posedge key3)   //按下按键key3
      begin
            if (time_set_en)
            begin
                  case(time_display)
                  3'b000:   //设置小时高位
                  begin
                        if (flag2)   //通过标志位,清0小时的高位
                        begin
                              hourh_set<=0;
                        end
                        else if(hourh_set<2)  //小时的高位最大为2
                                    hourh_set<=hourh_set+1;  //+1
                              else
                                    hourh_set<=0;
                  end
                  3'b001:   //设置小时低位
                  begin
                        if (flag2)   //通过标志位,清0小时的低位
                        begin
                              hourl_set<=0;
                        end
                        else if(hourl_set<9)  //小时的低位最大为9
                              hourl_set<=hourl_set+1;
                        else
                            hourl_set<=0;
                  end
                  3'b010:   //设置分高位
                  begin
                        if (flag2)   //通过标志位,清0分的高位
                        begin
                             minh_set<=0;
                        end
                        else if(minh_set<5)  //分的高位最大为5
                 minh_set<=minh_set+1;
                        else
                            minh_set<=0;
                  end
                  3'b011:   //设置分低位
                  begin
                        if (flag2)   //通过标志位,清0分的低位
                        begin
                              minl_set<=0;
                        end
                        else if(minl_set<9)  //分的低位最大为9
                              minl_set<=minl_set+1;
                        else
                              minl_set<=0;
                  end
                  3'b100:   //设置秒高位
                  begin
                        if (flag2)   //通过标志位,清0秒的高位
                        begin
                              sech_set<=0;
                        end
                        else if(sech_set<5)  //秒的高位最大为5
                              sech_set<=sech_set+1;
                        else
                              sech_set<=0;
                  end
                  3'b101:     //设置秒低位
                  begin
                        if (flag2)   //通过标志位,清0秒的低位
                        begin
                              secl_set<=0;
                        end
                        else if(secl_set<9)  //秒的低位最大为9
                              secl_set<=secl_set+1;
                        else
                              secl_set<=0;
                  end
                  endcase
            end
      end
endmodule

6)时间动态扫描位选模块time_display_sel

时间动态扫描位选模块time_display_sel主要实现分时显示时间数据,通过一个高频的时钟信号clk1k对数据进行分时传送,即将时、分、秒的高位和低位6个数据传送给对应时、分、秒的高位或低位的数码管进行显示。同时,在设置时间时,设置一个较低的时钟信号clk200,用于扫描单个数码管显示,以示区分。

在此处,应该注意的是,正常显示时间信息时,为了能够同时看到时、分、秒,要求高频的时钟频率大于人眼的分辨率。但是也不能太高,时钟频率太高,会使数码管虚亮,这时看到数码管显示的信息均为“8”,不能正确显示信息;同时也不能太低,时钟频率太低,会产生闪烁现象,甚至不能同时看到时、分、秒的信息。

所以,高频采用的是1kHz的时钟信号。在进行时间设置时,要求时、分、秒是单个数码管显示的,因此采用的时钟信号频率是200Hz。在不同的使能信号之下,进入不同的模式时,便会使用不同的时钟信号进行显示。

程序如下所示:

//clk1k:对时、分、秒的数据进行分时传送的时钟信号

//clk200:用于闪烁的时钟信号

//time_en:时间正常工作使能

//time_set_en:时间设置使能

//time_display:时间设置时的同步信号,对位进行闪烁显示控制

//time_display_sel:动态扫描位选输出信号



module time_display_sel(      

      input clk1k,  //对时、分、秒的数据进行分时传送的时钟信号

      input clk200,   //闪烁的时钟信号

      input time_en,  //时间正常工作使能

      input time_set_en,  //时间设置使能

      input stopwatch_en,

      input alarm_clock_en,

      input [2:0] alarm_clock_display,

      input [2:0] time_display,  //根据数码管的选择位置,进行闪烁显示控制

      output reg [5:0] time_display_sel  ); //动态扫描位选输出信号(6位)

reg clk0;   reg [2:0] sel;     reg [2:0] time_sel;

always@(posedge clk1k)   begin  //分时传送扫描

            if(time_sel<5)   //6位数码管  

                  time_sel<=time_sel+1;

            else

                  time_sel<=0;

      end

//扫描时钟的选择

always@(time_en or time_set_en or clk1k or clk200

                        or stopwatch_en or alarm_clock_en) begin

            if(time_en)   begin   //正常显示时间信息时,选择1kHz的扫描时钟  快一些

                        clk0<=clk1k;

                        sel<=time_sel;

                  end

//设置调整时间信息时,选择200Hz的扫描时钟   慢一些

            else  if(time_set_en)   begin                    

                        clk0<=clk200;

                        sel<=time_display;   //选择的单个数码管

                  end

            else if(stopwatch_en) begin                  

                        clk0<=clk1k;

                        sel<=time_sel;

                  end

            else if(alarm_clock_en)  begin                  

                        clk0<=clk200;

                        sel<=alarm_clock_display;

                  end            

      end

//为时、分、秒的高位和低位数据进行动态位选择

always@(posedge clk0)  begin  

            case(sel)     //6位分别传送给位控信号

                  3'b000: time_display_sel<=6'b100000;

                  3'b001: time_display_sel<=6'b010000;

                  3'b010: time_display_sel<=6'b001000;

                  3'b011: time_display_sel<=6'b000100;

                  3'b100: time_display_sel<=6'b000010;

                  3'b101: time_display_sel<=6'b000001;

                  default: time_display_sel<=6'b000000;

          endcase

      end

endmodule

7)显示模块display

显示模块display主要完成正常时间、时间设置、秒表功能和闹钟设置的时间信息用数码管进行显示。 

其中,在显示不同模式的信息时,采用的方法是取不同的使能信号,当使能信号为真的时候,索引当前的位控信息,分别发送不同的段码信息和位控信息,以实现在指定的数码管上面显示不同的时间信息。

需要注意的是,在显示的时候,由于采用分模块编写的思想,因此要引入各模块的当前数字时间信息,以实现不同的段码信息输出。

程序如下所示:

//display_data:译码后的数据,送给数码管显示

//display_sel:数码管的动态扫描位选择信号

module display (

  input time_en,      //时间正常工作使能

  input time_set_en,    //时间设置使能信号

  input stopwatch_en,    //秒表使能信号

  input alarm_clock_en,    //闹钟的使能信号

  input [5:0] time_display_sel,    //动态扫描位选输出信号(6位)

  input [3:0] hourh,    //显示正常时间的 时、分、秒、百分秒的高低位

  input [3:0] hourl,

  input [3:0] minh,

  input [3:0] minl,

  input [3:0] sech,

  input [3:0] secl,

  input [3:0] s100minh,   //显示秒表的分,秒,百分秒

  input [3:0] s100minl,

  input [3:0] s100sech,

  input [3:0] s100secl,

  input [3:0] s100h,

  input [3:0] s100l,

  input  [3:0] alarm_hourh_set,   //设置后的小时、分和秒

  input  [3:0] alarm_hourl_set,

  input  [3:0] alarm_minh_set,

  input  [3:0] alarm_minl_set,

  input  [3:0] alarm_sech_set,

  input  [3:0] alarm_secl_set,

  output reg  [5:0] display_sel,   //数码管的动态扫描位选择信号

  output reg  [6:0] display_data  //译码后的数据,送给数码管显示

);

reg [3:0] data;   //4位二进制,保存时钟每一位的数字情况

always@(time_en or time_set_en or stopwatch_en or alarm_clock_en or

          time_display_sel   or display_sel or

           hourh or hourl or minh or minl or sech or secl or

          s100minh or s100minl  or s100sech or s100secl or s100h or s100l ) begin

    if((time_en==1) || (time_set_en==1))   begin  //正常时间和设定时间显示

        display_sel<=time_display_sel;

        case(time_display_sel)      //6位数码管

            6'b100000:data<=hourh;

            6'b010000:data<=hourl;

            6'b001000:data<=minh;

            6'b000100:data<=minl;

            6'b000010:data<=sech;

            6'b000001:data<=secl;

           default:data<=4'b0;

        endcase

      end

    else if(stopwatch_en==1)       //秒表的时间显示

      begin

        display_sel<=time_display_sel;

        case(time_display_sel)

            6'b100000:data<=s100minh;

            6'b010000:data<=s100minl;

            6'b001000:data<=s100sech;

            6'b000100:data<=s100secl;

            6'b000010:data<=s100h;

            6'b000001:data<=s100l;

            default:data<=4'b0;

        endcase

      end

    else if(alarm_clock_en)        //闹钟的设置时间显示

      begin

        display_sel <= time_display_sel;

        case(time_display_sel)

            6'b100000:data<=alarm_hourh_set;

            6'b010000:data<=alarm_hourl_set;

            6'b001000:data<=alarm_minh_set;

            6'b000100:data<=alarm_minl_set;

            6'b000010:data<=alarm_sech_set;

            6'b000001:data<=alarm_secl_set;

            default:data<=4'b0;

        endcase

      end

    case(data)          //数码管的8位译码情况  ,采用共阳极输出  

         4'b0000:display_data<= ~7'b1111110; //数字0

         4'b0001:display_data<= ~7'b0110000; //数字1

         4'b0010:display_data<= ~7'b1101101; //数字2

         4'b0011:display_data<= ~7'b1111001; //数字3

         4'b0100:display_data<= ~7'b0110011; //数字4

         4'b0101:display_data<= ~7'b1011011; //数字5

         4'b0110:display_data<= ~7'b1011111; //数字6

         4'b0111:display_data<= ~7'b1110000; //数字7

         4'b1000:display_data<= ~7'b1111111; //数字8

         4'b1001:display_data<= ~7'b1111011; //数字9

         default:display_data<= ~7'b0; //数字0

    endcase

  end

endmodule

8)秒表模块stop_watch

在实际中,在此假设秒表功能需要显示分、秒和十分秒,所以这里就需要有实现百分秒功能的时钟信号clk10,用与时间正常显示模块相同的计数方法,分别实现十分秒向秒的进位计数,秒向分的进位计数,进而完成秒表功能。

在秒表功能实现中,加入标志位flag3,并与按键key2进行绑定,当按键按下的时候,此时的十分秒将会停止计数,实现暂停的效果,再次按下按键,会继续进行计数。并且在每一个计数中,加入标志位flag2并与按键key4进行绑定,当按键按下的时候,此时所有的数码管的计数值将会清0

另外,在此说明,由于在原开发板上面进行秒表功能实现的时候,时钟信号clk10会与其他的时钟信号产生同步时序混叠的情况,始终无法解决这个问题。因此,将秒表的功能移到另一块开发板上面实现,其他的数码管扫描模块和显示模块均与原开发板相同,具体说明可见下文详述。

程序如下所示:

//秒表显   分、秒、十分秒。

//clk10:秒表时钟信号

// key2,      //暂停和开始计数按键    key4,      //当前数码管,清0

// s10minl,  //秒表的分的低位   s10sech,  //秒表的秒的高位

// s10secl,  //秒表的秒的低位

//  s10       //秒表的十分秒

module stop_watch(

      input clk10,   //秒表时钟信号--0.1s

      input key2,      //暂停和开始计数按键

      input key4,      //当前数码管,清0

      output reg [3:0]  s10minl,  //秒表的分的低位

      output reg [3:0]  s10sech,  //秒表的秒的高位

      output reg [3:0]  s10secl,  //秒表的秒的低位

      output reg [3:0]  s10       //秒表的十分秒

);

reg count1,cout2;   //进位信号

reg flag3;   //两个按键的标志位

reg flag2;  

always @(posedge key2)    //每次检测key3的按下,暂停和开始

begin

    flag3 = ~flag3;

end

always @(posedge key4)    //每次检测key4,用于进行清0操作

begin

    flag2 = ~flag2;

end

always@(posedge clk10  or posedge flag2) //十分秒的计数  

begin

      if (flag2)

            begin

            s10<=0;

            end

      else if (s10==9)   //十分秒到9时

                  begin

                  count1<=1;  //十分秒进位到1s

                  s10<=0;  

                  end

            else if (flag3)    //检测按键的标志位,实现暂停

                  begin

                  s10<=s10+1;  //高位没到时,继续+1

                  count1<=0;

                  end

end

always@(posedge count1 or posedge flag2)   //秒的计数情况

begin    

      if (flag2)

      begin

            s10secl<=0;

            s10sech<=0;

      end

      else if (s10secl==9)  //秒的低位为9时

            begin

            s10secl<=0;     //秒低位清0

            if (s10sech==5)   //秒高位为5时

                  begin

                  s10sech<=0;   //秒的低位为9,秒的高位为5时,秒的高位清0

                  cout2<=1;  //秒向分进1

                  end

            else

                  begin

                  s10sech<=s10sech+1;  //秒高位不为5,继续+1

                  end

            end

      else    

            begin

            s10secl<=s10secl+1;  //秒低位不为9,继续+1

            cout2<=0;

            end          

end    

always@(posedge cout2 or posedge flag2)  //检测秒向分的进位

begin  

      if (flag2)

            begin

            s10minl<=0;

            end

      else  if (s10minl==9)       //分低位计数情况

            begin

            s10minl<=0;          //分低位清0

            end

      else

            begin

            s10minl<=s10minl+1;

            end

end

endmodule

9)闹钟模块alarm_clock

闹钟模块主要完成闹钟的时间设置及闹钟时间到后的提示。闹钟模块具体的设置时间的方法与时间设置模块time_set相同,均采用key2的按键叠加检测到不同的数码管,然后当key3按下的时候,当前数码管的数字将会+1,实现可以调节时间的功能。同时,闹钟设置时间与正常时间相等时会产生一个提示信号alarm,此信号持续60s的时间,可以将这个信号接到蜂鸣器上,用于声音提示。在本实验的开发板中,我们利用灯的亮起来近似替代。

程序如下所示:

//alarm_clock_en:闹钟的使能信号

//alarm:闹钟时间到的提示信号

//alarm_clock_display_sel:闹钟显示的同步信号

module alarm_clock(

      input clk1k,    //计时60s的时钟信号

      input alarm_clock_en,   //闹钟的使能信号

      input key2,   //时、分、秒高低位依次选择

      input key3,   //+1

      input [3:0] hourh,   //闹钟的时、分、秒高低位

      input [3:0] hourl,

      input [3:0] minh,

      input [3:0] minl,

      input [3:0] sech,

      input [3:0] secl,

      output reg [3:0] alarm_hourh_set,   //设置后的小时、分和秒

      output reg [3:0] alarm_hourl_set,

      output reg [3:0] alarm_minh_set,

      output reg [3:0] alarm_minl_set,

      output reg [3:0] alarm_sech_set,

      output reg [3:0] alarm_secl_set,

      output reg [2:0] alarm_clock_display,   //位选的控制信号

      output reg alarm  );    //闹钟时间到的提示信号

//闹钟信号提醒---计时60s     不进行秒的比对,只比对一分钟   //只比较时、分四位

always@(hourh or hourl or minh or minl or sech or secl or alarm_hourh_set or

      alarm_hourl_set or alarm_minh_set or

      alarm_minl_set or alarm_sech_set or alarm_secl_set)  begin

      if((alarm_minl_set==minl)&&(alarm_hourh_set==hourh)

         &&(alarm_hourl_set==hourl)&&(alarm_minh_set==minh))  

                  alarm<=0;         //当前时间与设定的闹钟时间相等,发送高电平    

            else

                  alarm<=1;            

end

always@(posedge key2)     //对时、分、秒的高位和低位进行选择

      begin

            if(alarm_clock_en)

                  begin

                  if(alarm_clock_display<5)  //6位选择

                        alarm_clock_display<=alarm_clock_display+1;

                  else

                        alarm_clock_display<=0;

                  end

      end

always@(posedge key3)     //对时、分、秒的高位和低位进行调整

      begin

         if (alarm_clock_en)

            begin

                  case(alarm_clock_display)  

                        3'b000:  //调整小时高位

                        begin

                        if(alarm_hourh_set<2)  

                              alarm_hourh_set<=alarm_hourh_set+1;

                        else

                              alarm_hourh_set<=0;

                        end

                        3'b001:  //调整小时低位

                        begin

                        if(alarm_hourl_set<9)

                              alarm_hourl_set<=alarm_hourl_set+1;

                        else

                              alarm_hourl_set<=0;

                        end

                        3'b010:  //调整分高位

                        begin

                        if(alarm_minh_set<5)

                              alarm_minh_set<=alarm_minh_set+1;

                        else

                              alarm_minh_set<=0;

                        end

                        3'b011:  //调整分低位

                        begin

                        if(alarm_minl_set<9)

                              alarm_minl_set<=alarm_minl_set+1;

                        else

                              alarm_minl_set<=0;

                        end

                        3'b100:  //调整秒高位

                        begin

                        if(alarm_sech_set<5)

                              alarm_sech_set<=alarm_sech_set+1;

                        else

                              alarm_sech_set<=0;

                        end

                        3'b101:  //调整秒低位

                        begin

                        if(alarm_secl_set<9)

                              alarm_secl_set<=alarm_secl_set+1;                      

                        else

                              alarm_secl_set<=0;

                        end

                  endcase

            end

      end

endmodule

10)多功能数字钟的顶层设计clock_demo

多功能数字钟的顶层设计主要的目的是将上述的所有功能模块进行例化,连接所有的功能模块,保证其模块之间的正常工作。

clock_demo模块中,首先将四个按键进行例化和输出,用于下列的各个模块按键使用。之后,时钟例化time_div,导入开发板硬件时钟clk,完成四种其他时钟的生成。之后,功能控制例化control,导入key1按键,用于控制四种功能模式。之后,进行时间设置例化time_timeset,对于此模块,我将时间计数和时间设置模块进行合并,以最初实现正常的数字时钟功能,输出此时的计数数字值。之后,数码管动态扫描例化time_display_sel,显示模块例化display,对应于四种功能模式下,数码管的显示扫描输出相应的控制信息,与后续的display模块配合,将对应的数字段码值进行输出。其间,在display模块中,需要导入正常显时、秒表时间、闹钟时间,实现段码值display_data输出到数码管。例化正常时间计数模块time_count主要完成数码管中间两个小点的输出。最后,秒表例化和闹钟模块例化stopwatchalarm_clock,秒表导出此时的计时情况,闹钟模块需要导入正常的时间,输出闹钟设置的时间,二者比较,输出提醒信号alarm

在整个模块例化的过程中,可以看到需要很多信号的输入和输出,在FPGA中,实现各个模块的信号连接的方式是布线wire,通过将所需要的输入和输出信号进行其他名称的布线,在连接输出信号时,此时布线其他的信号,可以实现输入。此外,如何判断信号是输入还是输出,与各个模块在编写时的inputoutput信号相关,应该特别注意分辨。

程序如下所示:

//1、具有基本走时功能,时间范围是00:00:00~23:59:59。

//2、具有暂停计时和清零功能。

//3、具有调节时间功能。

//4、闹钟功能,定时时间可调,并具有到时1min的提醒功能。

//5、秒表功能,由于同步时钟的问题,在另一块开发板上面完成。

module clock_demo(

    input clk,

    input key1,  //时间正常显示、时间设置、秒表、闹钟功能四种功能模式

    input key2,  //时间设置和闹钟时间设置的时候,选择不同的数码管

    input key3,  //时间设置时的数字+1操作

    input key4,  //暂停正常显示  当前数码管清0

    output alarm,   //闹钟到时间的提醒信号

    output [6:0] display_data,   //7段数码管的译码

    output [5:0] display_sel,   //扫描6位数码管

    output two_points      //输出数码管中间的两个小点,1s闪烁

    //output day_clk,  //天数+1的信号

);

wire clk1k_1,clk200_1,clk100_1,clk1_1;   //时钟信号布线

wire t_en,t_s_en,sw_en,ac_en;   //四种功能控制布线

wire [3:0] hh,hl,mh,ml,sh,sl,      

        hourh,hourl,minh,minl,sech,secl,  

        s100mh,s100ml,s100sh,s100sl,s100h_1,s100l_1,

        aahh,aahl,aamh,aaml,aash,aasl;  //时间设置、秒表布线

wire [2:0] t_d;  

wire [5:0] a_d_s,t_d_s;

key_db    key_db_i1(  // 按键去抖动信号 1  

   .clk    (clk),

   .key_i    (key1),

   .key_db_o     (key_db1)    

);

key_db    key_db_i2(  // 按键去抖动信号 2  

   .clk    (clk),

   .key_i    (key2),

   .key_db_o     (key_db2)    

);

key_db    key_db_i3(  // 按键去抖动信号 3

   .clk    (clk),

   .key_i    (key3),

   .key_db_o     (key_db3)    

);

key_db    key_db_i4(  // 按键去抖动信号 4

   .clk    (clk),

   .key_i    (key4),

   .key_db_o     (key_db4)    

);

//时钟例化,为各选择、显示等等显示时钟

time_div #( )

time_div(  

    .clk(clk),  //clk:系统基准时钟  27MHZ

    .clk1k(clk1k_1),    //clk1k:动态扫描时钟信号  1kHZ

    .clk200(clk200_1),   //clk200:闪烁时钟信号  200HZ

    .clk100(clk100_1),  //clk100:秒表--时钟信号  100HZ,百分秒

    .clk1(clk1_1)  );      //clk1:秒--时钟信号  1HZ=1s



//功能控制例化

control #( )

control (

    .key1(key_db1),   //key1:功能选择按键,有4种功能

    .time_en(t_en),   //time_en:时间正常工作使能

    .time_set_en(t_s_en),  //time_set_en:时间设置使能

    .stopwatch_en(sw_en),   //stopwatch_en:秒表使能

    .alarm_clock_en(ac_en)  );    //alarm_clock_en:闹钟显示及调整使能

//时间设置例化

time_timeset #( )

time_timeset (

    .clk(clk),

    .clk1(clk1_1),   //1s

    .key2(key_db2),    //设置的时候,进行移位操作,选择不同的数码管

    .key3(key_db3),    //数字+1操作

    .key4(key_db4),    //暂停时间正常显示

    .time_en(t_en),    //control模块--时间正常工作使能

    .time_set_en(t_s_en),   //时间设置使能

    .alarm_clock_en(ac_en),

    .hourh(hh),    //小时、分和秒(分别两位)设置

    .hourl(hl),

    .minh(mh),

    .minl(ml),

    .sech(sh),

    .secl(sl),

    .day_clk(day_clk),      //天数+1的信号

    .time_display(t_d),    //闪烁显示控制

    .two_points(two_points)  );

//数码管动态扫描例化

time_display_sel #( )

time_display_sel (

    .clk1k(clk1k_1),      

    .clk200(clk200_1),  

    .time_en(t_en),

    .time_set_en(t_s_en),

    .stopwatch_en(sw_en),

    .alarm_clock_en(ac_en),

    .alarm_clock_display(a_d_s),

    .time_display(t_d),

    .time_display_sel(t_d_s)    );

//显示模块例化

display #( )

display (

    .time_en(t_en),     //四个使能信号

    .time_set_en(t_s_en),

    .stopwatch_en(sw_en),

    .alarm_clock_en(ac_en),

    .time_display_sel(t_d_s),   //动态扫描

    .hourh(hh),    //正常时间的时、分、秒

    .hourl(hl),

    .minh(mh),

    .minl(ml),

    .sech(sh),

    .secl(sl),

    .s100minh(s100mh),  //秒表的分的高位

    .s100minl(s100ml),  //秒表的分的低位

    .s100sech(s100sh),  //秒表的秒的高位

    .s100secl(s100sl),  //秒表的秒的低位

    .s100h(s100h_1),

    .s100l(s100l_1),

    .alarm_hourh_set(aahh),   //闹钟的时、分、秒高低位

    .alarm_hourl_set(aahl),

    .alarm_minh_set(aamh),

    .alarm_minl_set(aaml),

    .alarm_sech_set(aash),

    .alarm_secl_set(aasl),

    .display_sel(display_sel),

    .display_data(display_data)    );

//对于此处的秒表功能,由于同步时钟的问题,在另一块开发板上面完成。

stopwatch #( )

stopwatch (

    .clk100(clk100_1),   //秒表时钟信号--0.01s

    .stopwatch_en(sw_en),   //stopwatch_en:秒表使能信号

    .key2(key_db2),    

    .key3(key_db3),    

    .key4(key_db4),    

    .s100minh(s100mh),  //秒表的分的高位

    .s100minl(s100ml),  //秒表的分的低位

    .s100sech(s100sh),  //秒表的秒的高位

    .s100secl(s100sl),  //秒表的秒的低位

    .s100h(s100h_1),  //秒表的百分秒的高位

    .s100l(s100l_1)  );    //秒表的百分秒的低位

//时间正常显示--直接引用time_count模块即可

time_count #( )

time_count(

    .hourh(hourh),    //正常时间的时、分、秒

    .hourl(hourl),

    .minh(minh),

    .minl(minl),

    .sech(sech),

    .secl(secl),

    .alarm_clock_en(ac_en)   ); //闹钟使能,用于显示两个数码管小点

//闹钟模块例化

alarm_clock #( )

alarm_clock (

    .clk1k(clk1k_1),   //扫描显示同步时钟

    .key2(key_db2),   //移位数码管

    .key3(key_db3),   //数字+1

    .alarm_clock_en(ac_en),   //闹钟的使能信号

    .hourh(hh),    //小时、分和秒(分别两位)设置

    .hourl(hl),

    .minh(mh),

    .minl(ml),

    .sech(sh),

    .secl(sl),

    .alarm_hourh_set(aahh),   //闹钟的时、分、秒高低位

    .alarm_hourl_set(aahl),

    .alarm_minh_set(aamh),

    .alarm_minl_set(aaml),

    .alarm_sech_set(aash),

    .alarm_secl_set(aasl),

    .alarm_clock_display(a_d_s),

    .alarm(alarm)    );     //闹钟时间到的提示信号

endmodule

四、模块调试和硬件下载测试

本程序进行硬件下载测试的流程:

1、在高云软件(Gowin V1.9.8.11 Education上面完成程序的编写,同时,双击按钮Synthesize,保证此时在Message界面无错误出现,如果有错误,需要参照错误信息进行更改。

f16dfbe9c8144925a98570e288451a26.png

2、在FloorPlanner界面完成布线,主要是将FPGA的管脚连接按键、数码管、LED灯等外设。之后,双击按钮Place &Route,保证此时在Message界面无错误出现,如果有错误,需要参照错误信息进行更改。

324ff8d3c7d04a40a0aa818fc9d9f083.png

具体的布线情况如下:

端口

FPGA管脚

外设管脚

端口

FPGA管脚

外设管脚

display_sel[0]

29

DIG1

display_data[4]

39

E

display_sel[1]

30

DIG2

display_data[5]

40

F

display_sel[2]

31

DIG3

display_data[6]

41

G

display_sel[3]

32

DIG4

display_data[7]

42

DP

display_sel[4]

34

DIG1

key1

8

KEY1

display_sel[5]

35

DIG2

key2

9

KEY2

display_data[0]

31

A

key3

27

KEY3

display_data[1]

32

B

key4

28

KEY4

display_data[2]

34

C

clk

22

-

display_data[3]

35

D

alarm

47

LED1

two_points

48

L1/L2

 

 

 

3、双击Program Device,选择Operation,将程序初步烧录到SRAM区,有时候在调试完成之后,为了避免程序掉电消失,可以烧录到flash区。

 c17623e28e924ad59e7cc96cf6395bfa.png

4、在开发板上面进行观察,查看具体的实物测试的结果,再根据具体的情况进行调整。

 

模块调试:

在本程序的调试中,分别对四个小模块进行调试和功能检查,同时,对整体的功能进行联调,完成数字时钟的设计。

1.时间正常显示模块调试:

在下面的数码管显示中,可以看到正常的秒计数,在秒满59之后,向分进位,分满59之后,向小时进位,正常显示时-分-秒,并且六位数码管工作正常。同时,在本功能中,当按下按键key4时,此时的计时停止,实现时间暂停。此模块功能全部达到要求。

0c1fc7fd11054de0b1c35fcf60070b3a.png

2.时间设置模块调试:

在下面的数码管显示中,当选中第一位数码管的时候,按下按键key3可以实现当前的数码管+1,同时,按下按键key4,再按下按键key3可以实现当前的数码管清0,按下按键key2可以实现6位数码管移位,直至对时-分-秒的高低位均可以实现时间设置。同时,在时间设置完成之后,再次更换功能,将会更新时间显示。此模块功能全部达到要求。在此举例调试,其他的数码管均照此逻辑调试

f1c4435ba2f5429db01509abd77da1ce.png

 

3.秒表模块调试:

在下面的数码管显示中,当按下按键key2时,此时会进行分-秒-十分秒的秒表计数,按照正常的时间进行走时,同时在分数码管和秒低位数码管下面有小数点显示,用于区分不同的时间单位。同时,再次按下按键key2,将会暂停秒表计时。按下按键key4,将会秒表清0,此时可以进行下一次的计时。此模块功能全部达到要求。

5ca2554e10f64a7186bf31be9a051aa2.png

4、闹钟模块调试

在下面的数码管显示中,同时间设置模块调试,按下按键key3可以对当前的数码管进行+1,按下按键key2可以实现6位数码管移位,用于设置闹钟的时间。当闹钟的时-分与正常显示的时间相同时,此时闹钟回复发出一个提醒信号,在开发板上,可以看到LED1灯泡亮起,在60s之后,LED1灯泡熄灭。

注意,闹钟时钟比较只涉及时-分。此模块功能全部达到要求。

aadfe13ceb9d4551ac8699103cb1c8eb.png

5、数字时钟四个功能模块联合调试,通过按键key1可以实现四种功能的分别显示,并且,在不同的功能模式下,对应的数码管显示的数字信息也不同,通过其他按键的使用,可以具体的调控数码管和输出对应的信号。数字时钟所有模块调试完成,所有模块功能全部达到要求。

480647dc831d47d5884b9d8d0a8f0a73.png

五、遇到的问题及解决方法

在本次的课程设计中,我总共遇到了4个问题,在查阅资料和询问老师的情况下,得到了很好的解决,完成了本次的数字时钟课程设计。

问题1:正常时间显示时、分、秒的计数和进位,时间设置的代码设计中遇到了问题。

解决方法1:在正常时间的显示逻辑中,小时的最大应该为24,分钟的最大应该为59,秒的最大应该为59(在60 的时候应该直接进位,不予显示)。由此,可以在模块中以秒的低位作为最底层进行时钟沿的触发,因为只有秒进行计数和进位后,才有分钟和小时的显示。

在if判断语句中,在秒低位首先进行是否为9 的判断,进而再次比较秒高位是否为5,当达到59时,进位到分,否则秒的低位时钟+1,继续计数。针对其他模块,可以采用相同的设计方法,但是由此不同的是,对于分钟和小时的时钟沿触发,应该使用进位标志触发,只有存在进位出现时,才有计数+1

always@(posedge clk1 or posedge time_set_en)  begin
    if (time_set_en) begin   //秒的设置
        sech<=sech_set;     //设置给秒的高低两位
        secl<=secl_set;
      end
    else  if (time_en)    begin 
           //秒的计数情况
        if (secl==9)  //秒的低位为9时
          begin
          secl<=0;     //秒低位清0
          if (sech==5)   //秒高位为5时
            begin
              sech<=0;   //秒的低位为9,秒的高位为5时,秒的高位清0
              cout1<=1;  //秒向分进1
            end
          else
            begin
              sech<=sech+1;  //秒高位不为5,继续+1
            end
          end
        else if (flag1)   //当标志位翻转时,秒的低位不再变化,实现暂停
          begin
          secl<=secl+1;  //秒低位不为9,继续+1
          cout1<=0;
          end                
      end
  end

针对时间的设置,在此处主要的问题是,需要联合时间的显示和设置,在完成时间的设置之后,应该将设置的时间用于替代原本的时间显示,实现时间的设置和覆盖。因此,我的设计逻辑在于将时钟计数和设置模块进行联合,当需要时间正常计时模式时,利用时间设置的数字值进行现有覆盖。此外,在时间设置模式时,时间计数正常进行,不起冲突。同时,通过外部的按键,利用时钟沿去检测按键的按下,可以实现时间设置现有的值加1。

问题2由于采用多种时钟,在功能切换和数码管扫描显示逻辑中,遇到了问题。

解决方法2:在实现功能模式的跳转时,其对应的数码管显示也需要发生对应的改变,例如在时间设置时,需要的时钟逻辑与时间计数和数码管扫描时钟不一致,针对此问题的解决,我想到的是,设置两组always语句,分别判断此时的模式使能的情况,在不同的模式下,传输不同的扫描时间clk0,再利用此扫描时间,分别扫描6个数码管即可。

//扫描时钟的选择

always@(time_en or time_set_en or clk1k or clk200

                        or stopwatch_en or alarm_clock_en) begin

            if(time_en)   begin   //正常显示时间信息时,选择1kHz的扫描时钟  快一些

                        clk0<=clk1k;

                        sel<=time_sel;

                  end

//设置调整时间信息时,选择200Hz的扫描时钟   慢一些

            else  if(time_set_en)   begin                    

                        clk0<=clk200;

                        sel<=time_display;   //选择的单个数码管

                  end

            else if(stopwatch_en) begin                  

                        clk0<=clk1k;

                        sel<=time_sel;

                  end

            else if(alarm_clock_en)  begin                  

                        clk0<=clk200;

                        sel<=alarm_clock_display;

                  end            

      end

//为时、分、秒的高位和低位数据进行动态位选择

always@(posedge clk0)  begin  

            case(sel)     //6位分别传送给位控信号

                  3'b000: time_display_sel<=6'b100000;

                  3'b001: time_display_sel<=6'b010000;

                  3'b010: time_display_sel<=6'b001000;

                  3'b011: time_display_sel<=6'b000100;

                  3'b100: time_display_sel<=6'b000010;

                  3'b101: time_display_sel<=6'b000001;

                  default: time_display_sel<=6'b000000;

          endcase

      end

问题3闹钟时间设置和闹钟的时间到达时的提醒信号,遇到了问题。

解决方法3:在初步解决该问题时,我设想的方法是设置一个可以进行60s计数的计数器,当计数器的值满60时,关闭此时输出的闹钟信号,但是我在设计的过程中,我一直无法解决当前的问题,因为在计时的过程中,我既需要在always语句中导入当前的时钟信号,又需要1s的计数信号clk1,此时的时序控制非常困难。

在询问老师具体的解决方法之后,我想到,其实在进行程序的比较的时候,不需要进行秒的比较,只需要比较时、分就行,因为在时、分不相等时,自然完成了60s的时钟比较,这时,基于此分析的逻辑,便可以很好的实现。

//闹钟信号提醒---计时60s     不进行秒的比对,只比对一分钟   //只比较时、分四位

always@(hourh or hourl or minh or minl or sech or secl or alarm_hourh_set or

      alarm_hourl_set or alarm_minh_set or

      alarm_minl_set or alarm_sech_set or alarm_secl_set)  begin

      if((alarm_minl_set==minl)&&(alarm_hourh_set==hourh)

         &&(alarm_hourl_set==hourl)&&(alarm_minh_set==minh))  

                  alarm<=0;       //当前时间与设定的闹钟时间相等,发送高电平    

            else

                  alarm<=1;

end

问题4秒表的时钟控制和数码管的显示,由于在本模块中,采用多种时钟跳转和数码管扫描,出现同步时钟混乱的情况,导致秒表的显示不一致。

解决方法4:首先在此处具体分析一下出现问题的具体原因,在进行秒表计时的过程中,我既需要发送此时的秒表计时的情况,又需要在数码管上面进行数字扫描输出,同时,在前面的时间正常计数时,还有一个1s的计数时钟,三种时钟信号在同时进行,在进行具体的时钟输出的时候,我认为在当前的时序输出上会出现混乱的情况。在程序的实现中,我采用的具体方法是分时发送时钟信号,在下面的四种情况下,分别对应不同的扫描时钟。

在进行初步解决时,我采用的方法是,提高数码管的扫描频率,先修改秒表的计时信号为1s,此时秒表的计时信号恢复正常,但是在设定为0.01s时,此时显示又出现问题,因此,我想的是再次提高数码管的扫描频率,但是此时便会影响其他模块的功能显示,并且,我多次检查各模块之间调用的代码逻辑和程序的实现,无法找到问题所在。

 最后,我的解决方法就是,将秒表的功能移动到另一块开发板上面,只有秒表一项功能,同时也保留数码显示的代码,可以很好的实现秒表的功能,同时,为了更好的区分当前的计时情况,我在特定的数码管显示下面加了小数点,用与区分例如6.32.3时间。当然,将秒表的功能移动到一块开发板上面,我认为还是可以实现,同样在control模块中,控制功能调用即可。

  if(time_en)   begin      //正常显示时间信息时,选择1kHz的扫描时钟  快一些

                        clk0<=clk1k;

                        sel<=time_sel;

                  end

        else  if(time_set_en)   begin //设置调整时间信息时,选择200Hz的扫描时钟   慢一些       

                        clk0<=clk200;

                        sel<=time_display;   //选择的单个数码管

                  end

            else if(stopwatch_en) begin                  

                        clk0<=clk1k;

                        sel<=time_sel;

                  end

            else if(alarm_clock_en)  begin                  

                        clk0<=clk200;

                        sel<=alarm_clock_display;

                  end            

      end

六、课程学习总结

在本次基于FPGA的多功能数字时钟项目的设计中,需要熟练掌握时序逻辑的代码编写以及基于时序的不同而产生的问题调试。在编写代码的过程中,需要详细了解模块的工作原理和实现的基本逻辑。在实现的过程中,我的步骤是首先在纸上大致对每个模块进行功能分类,大致进行功能的构思和初步设计,同时,画出各个模块的连接方式,可以等同于先一步完成了RTL原理图的设计。之后,我再针对每一个模块进行代码编写,同时,完成一个模块编写,调试一个模块,逐步便能完成整体的设计。

 

 

 

 

 

 

 

 

 

 

 

  • 32
    点赞
  • 43
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 12
    评论
评论 12
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Jerry独爱电子

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值