Verilog 利用握手信号(valid/ready)实现数据流水线反压

应用场景:接收模块不能即时读取发送模块数据时,可能出现数据阻塞的情况

简单示例:顶层模块pipeline_top中包含三级流水线,pipeline_top存在上下游模块,且pipeline_top仅通过握手信号获知上下游数据的valid/ready情况

module pipeline_top(
    input  wire clk,
    input  wire rst,
    
    input  wire [15:0] din,
    output wire [15:0] dout,
    
    input  wire i_vld,    // 输入有效 - 上游模块数据有效
    output wire i_rdy,    // 输入就绪 - 本模块已准备好接收数据
    output wire o_vld,    // 输出有效 - 本模块数据有效
    input  wire o_rdy     // 输出就绪 - 下游模块已准备好接收数据
    );
    
    // 级间握手信号
    wire [15:0] data_1_2, data_2_3;
    wire vld_1_2, vld_2_3;
    wire rdy_2_1, rdy_3_2;
    
    // 第一级
    stage_1 stage_1_inst(
        .clk                    (clk        ),
        .rst                    (rst        ),
    
        .din                    (din        ),
        .dout                   (data_1_2   ),
    
        .previous_stage_valid   (i_vld      ),
        .this_stage_ready       (i_rdy      ),
    
        .this_stage_valid       (vld_1_2    ),
        .next_stage_ready       (rdy_2_1    )
    );
    
    // 第二级
    stage_2 stage_2_inst(
        .clk                    (clk        ),
        .rst                    (rst        ),
    
        .din                    (data_1_2   ),
        .dout                   (data_2_3   ),
    
        .previous_stage_valid   (vld_1_2    ),
        .this_stage_ready       (rdy_2_1    ),
    
        .this_stage_valid       (vld_2_3    ),
        .next_stage_ready       (rdy_3_2    )
    );
    
    // 第三级
    stage_3 stage_3_inst(
        .clk                    (clk        ),
        .rst                    (rst        ),
    
        .din                    (data_2_3   ),
        .dout                   (dout       ),
    
        .previous_stage_valid   (vld_2_3    ),
        .this_stage_ready       (rdy_3_2    ),
    
        .this_stage_valid       (o_vld      ),
        .next_stage_ready       (o_rdy      )
    );
    
endmodule

stage_1、stage_2、stage_3分别对数据进行+1、+2、+3操作,以stage_1为例,模块实现

module stage_1(
    input  wire clk,
    input  wire rst,

    input  wire [15:0] din,
    output reg  [15:0] dout,
    
    input  wire previous_stage_valid,    // 上级数据有效
    output wire this_stage_ready,        // 本级已就绪
    
    output reg  this_stage_valid,        // 本级数据有效
    input  wire next_stage_ready         // 下级已就绪
    );
    
    assign this_stage_ready = ~this_stage_valid || next_stage_ready;    // 本级已就绪 = 本级数据为空(数据无效的情况) 或 下级已准备好接收数据(流水线顺畅运行的情况)
    
    always_ff @(posedge clk) begin
        if (rst)
            dout <= 'd0;
        else if (previous_stage_valid && this_stage_ready)    // 如果上级数据有效 且 本级已就绪 - 则读取上级数据并完成运算
            dout <= din + 'd1;
        else     // 否则保持输出数据不变
            dout <= dout;
    end
    
    always_ff @(posedge clk) begin
        if (rst)
            this_stage_valid <= 'b0;
        else if (this_stage_ready)    // 如果本级已就绪 - 则寄存上级数据valid标志
            this_stage_valid <= previous_stage_valid;
        else 
            this_stage_valid <= this_stage_valid;
    end
    
endmodule

仿真波形:可以看到在o_valid为高但o_ready为低时,输出数据保持;当o_valid和o_ready同时为高时完成一次握手,数据传输

见stage_1、stage_2、stage_3模块内部波形,o_ready拉低会对内部数据流水线形成反压

  • 8
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Valid-Ready握手协议在Verilog设计中用于数据传输的同步,确保数据的有效性和可靠性。它通常用于两个模块之间的数据交换,并且在发送数据之前,接收方必须准备好接收数据。 下面是一个简单的Verilog代码示例,演示了Valid-Ready握手协议的基本原理: ```verilog module ValidReadyHandshake ( input wire clk, input wire reset, input wire data_valid, output wire data_ready, input wire [DATA_WIDTH-1:0] data_in, output wire [DATA_WIDTH-1:0] data_out ); // 内部状态定义 reg [DATA_WIDTH-1:0] internal_data; reg internal_valid; reg internal_ready; // 同步时钟 always @(posedge clk) begin if (reset) begin internal_ready <= 1'b0; internal_data <= {DATA_WIDTH{1'b0}}; internal_valid <= 1'b0; end else begin internal_ready <= data_ready; internal_data <= data_in; internal_valid <= data_valid; end end // 数据输出逻辑 assign data_out = internal_data; // Ready信号逻辑 assign data_ready = internal_ready && !internal_valid; endmodule ``` 在这个示例中,Valid-Ready握手协议的发送方将数据放入`data_in`端口,并设置`data_valid`信号为高电平。接收方通过`data_ready`信号表示它已经准备好接收数据。当接收方准备好时,它将`data_ready`信号设置为高电平,发送方将通过`data_ready`信号的状态来判断是否可以发送数据。 值得注意的是,`clk`和`reset`信号是必需的,用于同步时钟和复位。 这只是一个基本示例,你可以根据具体的设计需求对握手协议进行扩展和修改。希望这个示例对你有所帮助!如果你有更多问题,请随时提问。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值