【驯服野生verilog-mode全记录】day2 —— 模块的例化

本文详细记录了在verilog-mode下如何进行模块的简单例化和TEMPLATE例化,包括信号位宽的适配、parameter的连接以及正则匹配重命名等实用技巧,帮助FPGA开发者提高效率。
摘要由CSDN通过智能技术生成

前言

相关博文:

【驯服野生verilog-mode全记录】day0 —— verilog-mode的安装

【驯服野生verilog-mode全记录】day1 —— 常用链接与基本命令模板

简单例化

verilog-mode语法下,最简单的例化模块方式就是:

fw_pipe u_pipe1(/*AUTOINST*/);

执行生成后就是你要的样子(之后如无必要就不写input/output/wire的生成语句了):

/*AUTOINPUT*/
// Beginning of automatic inputs (from unused autoinst inputs)
input                   clk;                    // To u_pipe1 of fw_pi
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

尼德兰的喵

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值