建议收藏:华为海思IC设计笔试题,含解析(附下载)

华为海思一直以来是从业者想要进入的热门公司。但是岗位就那么多,在面试的时候,很多同学因为准备不充分,与岗位失之交臂,无缘进入该公司。今天为大家带来华为海思芯片岗的真题解析,如有错漏,欢迎指正哈。
今天精选了几道华为海思IC设计笔试题,(文尾附全套资料,涵解析,可下载),希望芯博士的分享能让你少走些弯路,建议收藏。

华为海思:

规模国内第一,培训资料完善,按贡献分配收入,适合“奋斗者”。接触过的部门有麒麟芯片、CPU/GPU,总体面试感觉非常好,规范且认真,第一轮手撕代码状态机+复盘机考题+瞄准几个知识点深挖,时间1hour+;第二轮手撕代码带气泡数据流的乒乓操作(挺复杂的),之后问了一些知识点,最后超时间了大概1.5hour+;一二轮面试是连着的,所以很累。第三轮技术面是和部长聊天,内容有本科数学建模的论文细节、比赛细节、本科发表论文的工作细节、到你人生路上的一些选择和想法、到研究生课程细节、再到后来的实习经历等等。对于22届,海思的hc很少,好像后面补了一些hc,不知道23届情况如何。

Q、在verilog中,比算数运算符+优先级高的是?

A.& B.%

C.> D.!==

解析:B

B 表中可以看出取反乘除取余加减这种运算符优先级高,接下来是移位,再接下来是等价判断符,最后是逻辑运算符。

Q、在systemverilog中,函数(task)可以调用函数任务(function)。

答案

A.错误 B.正确

解析:B

Function不能有延时,不能有调用,不能事件触发,相当于一块组合逻辑;

task可以有延时,可以调用,可以事件触发。

Function只能与主模块用同一个仿真时间单位,task可以有自己的单位。

Function不能调用function和task,task可以调用function和task。

Function至少一个输入变量,task可以没有或者有多个输入值。

Function返回一个值,task则不返回值。

Q、reg[31:0] big_vect;big_vect[0+:8]是多少?

A.big_vect[0:7] B.big_vect[7:0]

解析:B

要看data是从高位向低位定义还是低位向高位定义,表达式的位顺序取决于此。

data[0+:8]

代表从0bit开始,向上加8bit的数,也就是0:7。

data定义如果是高位到低位(reg[127:0] data),那这个数就代表data[7:0],

反之(reg[0:127] data)则代表data[0:7];

data[127-:8]

代表从127bit开始,向下减8bit的数,也就是127:120。

data定义如果是高位到低位,那这个数就代表data[127:120],反之则代表data[120:127];

Q、generate语句中的循环控制变量应该定义为integer类型。

A.错误 B.正确

解析:A

generate语句中的循环控制变量应该定义为genvar.

以下是generate的用法实例:

通过generate可以产生一个对象的多次例化,减少代码量。

// Generate block

genvar i;

generate

for(i=0; i<8; i=i+1) begin:BLOCK1

buffer_1 buffer_1_1(.in(din[i]), .out(dout[i]));

end

endgenerate

Q、o10换算成十进制是多少?

A.8 B.16

C.10 D.2

解析:A

o10表示8进制的10,换算成十进制=1*81+0*80=8

Q、哪些情况下不会产生latch电路( )?

A.组合逻辑中条件不全的case语句没有default赋值

B.时序逻辑中if选择语句,没有else部分表达

C.使用if选择语句的组合逻辑没有else部分表达

D.使用case选择语句的组合逻辑没有else表达

解析:B

时序电路有记忆功能,不会产生锁存器,锁存器一般在组合逻辑中case写不全,else写不全,或者敏感列表写不全。

在这里插入图片描述

…………
一 共 17 页,40道题目

想获得完整版《华为海思 数字芯片/IC 秋招&春招笔试题+解析》的小伙伴填写**IC入行指导**表单!

点击下方卡片,领取芯片相关资料+高质量QQ学习交流群+入行指导答疑

  • 21
    点赞
  • 24
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
### 回答1: 华为海思2021数字芯片IC笔试题目主要分为两部分,一部分是单选题和多选题,另一部分则是编程题。 单选题和多选题主要考察对数字电路和计算原理的理解,题目涵盖了数字信号处理、数制转换、寄存器、存储器、逻辑门电路等方面的知识。需要注意的是,有些题目看似简单但是需要注意细节,例如计算的存储空间测量单位是字节而不是位。 编程题则需要根据题目要求编写相应的程序。一般来说,编程题比较难,需要对编程语言和算法都有比较深的理解。此次考试的编程题主要考查了对链表的理解和程序设计能力。 通过本次考试,可以看出华为海思2021数字芯片IC笔试题目难度较大,需要对相关领域有深入的掌握和理解。在备考期间,应该多加练习和思考,增加对基础知识的掌握和应用能力。 ### 回答2: 华为海思2021数字芯片IC笔试题主要考察了应聘者对于数字电路设计的相关知识点的理解和应用能力。测试题分为两部分,一部分是基础知识选择题,另一部分是设计题。 基础知识选择题主要考察了应聘者对于数字电路、布尔代数、时序分析等基础知识点的了解。比如,有一道题目是让应聘者判断一段代码是否可以正确地实现计数器功能。这需要应聘者对计数器的基本组成原理和实现方法有充分的了解。 设计题则更加考验应聘者的应用能力。其中,一道题目要求应聘者根据给定的电路输入、输出和时钟信号,设计出一个可靠稳定的时序电路。这需要应聘者对时序电路的编程能力、逻辑设计和时序分析能力有较高的掌握。 对于应聘者而言,需要提前充分准备,熟悉数字电路设计相关知识点,深入理解数字电路的实现原理和设计方法。同时,要注重考虑实际应用需求和技术实现可行性,保证设计方案的有效性。只有全面掌握相关知识和技能,才能在笔试中取得更好的成绩,从而在海思数字芯片IC设计岗位中取得更好的工作会。 ### 回答3: 华为海思2021数字芯片IC笔试题主要考察了应聘者在数字电路设计、Verilog语言、RTL综合等方面的知识掌握程度和综合应用能力。试题设计难度适中,较为贴近实际工作中的应用场景。 第一题是给定一组规定的时序图,要求设计Verilog代码和RTL电路图,实现一个4位带使能端的同步锁存器。此题考查了对时序逻辑电路的理解,需要应聘者能够将时序图抽象成基本的组合逻辑与时序逻辑模块,结合Verilog语言编写可合成的Verilog代码和RTL电路图。 第二题是仿真题目,要求给定Verilog代码进行仿真,并观测输出信号,分析仿真结论。此题考查了对数字电路仿真的能力和Verilog语言的掌握程度,需要应聘者熟悉Verilog仿真工具的使用方法,能够正确编写测试代码,并对仿真结果进行分析。 第三题是RTL综合题目,给定Verilog代码,要求进行RTL综合并生成门级网表文件。此题考查了对数字电路综合的理解和工具使用能力,需要应聘者熟悉数字电路综合的各项规则,能够正确地进行综合操作,并生成准确的门级网表文件。 总体来说,华为海思2021数字芯片IC笔试题考查了应聘者的数字电路设计和RTL综合等方面的综合应用能力,较为贴近实际工作。应聘者可以通过思考、练习和掌握基本的数字电路设计和RTL综合知识,提升答题能力和应用水平,更好地应对类似的笔试题目。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值