浅谈芯片验证中的仿真运行之 timescale (一)基础认知

本文介绍了Verilog HDL中的`timescale`预编译指令,它定义了仿真时间单位和精度。时间单位限于1、10、100,精度不能大于单位。未指定timescale时,仿真工具会有默认设置。在验证平台搭建中,如果需要高精度如1ps,可通过`timeunit`和`timeprecision`指定。$timeformat主要影响时间的打印格式,不影响仿真精度。
摘要由CSDN通过智能技术生成

一、基础概念

`timescale是Verilog HDL 中的一种时间尺度预编译指令,它用来定义模块的仿真 时的时间单位和时间精度。格式如下:

                                      `timescale  仿真时间单位/时间精度

二、注意事项

(1)用于说明仿真时间单位和时间精度的 数字只能是1、10、100,不能为其它的数字。而且,时间精度不能比时间单位还要大。且最多两则一样大。比如:`timescale   1ns/1ps、`timescale   1ns/1ns、`timescale   10ns/1ps、`timescale   100ns/10ns、`timescale  1ps/1ns(错误!

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

那么菜

你的鼓励和批评是我最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值