#VERDI# 关于Verdi使用的几个常用技巧整理

本文整理了VERDI工具在FPGA开发中的一些实用技巧,包括根据fsdb文件大小和时间分段dump波形,避开特定instance,设置dump间隔,捕获force信息、SVA条件、内存阵列、库单元和事件区域等,以及如何实现波形下载与同步。
摘要由CSDN通过智能技术生成

目录

1. 如何根据fsdb文件大小来分文件dump 波形?

2.如何根据dump time 来分文件dump 波形?

3. 如何不去dump 指定instance ?

4. 如何dump y一定间隙的fsdb 波形?

5. 如何dump force 信息?

6. 如何dump SVA 信息?

7. 如何dump  memory arrays 信息?

8. 如何 dump lib cell 信息?


1. 如何根据fsdb文件大小来分文件dump 波形?

initial begin
$fsdbDumpvars(0,dut);
$fsdbAutoSwitchDumpfile(2048,file_name,20);
end

2.如何根据dump time 来分文件dump 波形?

integer i;
initial begin
$fsdbDumpvars(0,dut);
i=0;
forever begin
$fsdbSwitchDumpfile($SFORMATF("file_name_%0d",i));
#time_period;
i=i+1;
end
end

3. 如何不去dump 指定instance ?

initial begin 

$fsdbSupress(dut.a,dut.b);
$fsdbDumpvars(0.dut);
end
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

那么菜

你的鼓励和批评是我最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值